Live Again From Monterey

Photomask Technology Conference + EUVL Symposium
by Chris Mack

Monterey, California, September 15-19, 2019

SPIE Photomask and EUVL Symposium 2019 – part 1

What could be better than spending a week in September in Monterey, California.  This is especially true if you are from Austin, Texas, where the temperatures are still reaching 100 F and we just came through one of the hottest Augusts on record.  Yesterday’s sunrise (experienced while out for a run along the coast) was spectacular, as is the beer at Fieldwork.  But what makes my week even better is that I get to spend it thinking, talking, and dreaming about lithography!  (Does that make me weird?)  I’m here for SPIE’s Photomask Technology + Extreme Ultraviolet Lithography conferences.

Since the late 80s I have been attending (irregularly) the Photomask Technology conference, which everyone calls the Bacus conference (long story).  Three years ago, the Extreme Ultraviolet Lithography Symposium was brought into the SPIE fold and collocated with Bacus, which has proven to be a great idea.  The critical challenges of EUV masks and related technology are getting the attention they deserve through joint sessions of the two conferences.  For the ten years prior to the merger I didn’t attend either conference.  But bringing them together has made it worthwhile for me, and since I have been coming every year.

The three and a half days of conference this week have 140 papers for both conferences (78 orals, 62 posters), including something new this year:  two sessions devoted to stochastics.  This topic is now well recognized as the primary challenge for the success of EUV lithography.  The joint plenary session featured a talk by Mark Phillips of Intel, where he provided a good review of the progress and remaining challenges for EUV lithography, including the growing effort to develop high-NA systems and infrastructure.  My favorite tidbit was the insight (obvious in hindsight) that the need for a pellicle in EUV manufacturing depends on your die size.  When there are many die on one reticle, living without a pellicle may make sense.  In this scenario, wafer (print die) inspections are used to find reticle defects (“repeaters” on the wafer) after they occur.  Despite efforts to keep the inside of the EUV scanner clean, 20% of the time reticles at Intel developed particle adders between inspections, killing yield for those die.  On the other hand, the use of a pellicle, which today has a one-pass transmission of 83%, results in a 30% loss of scanner throughput.  (Note that using a pellicle also requires the use of a membrane just above the wafer to block out-of-band radiation, and this membrane has about 90% transmission.  Overall light intensity is reduced by 0.9*0.83*0.83.)  Which is more expensive, the lost scanner throughput due to low pellicle transmittance, or the lost yield due to printing reticle defects?  That will depend on the die size.

Marcel Mastenbroek, ASML’s NXE:3400 product manager, gave a talk on that tool’s progress the way a proud parent talks about their children.  After 20 years of industrialization efforts at ASML, both Samsung and TSMC are now producing chips, being shipped to customers, with at least one layer printed with EUV.  Granted, this is “risk production”, with those same layers also being printed for some wafers with conventional 193i lithography, but that doesn’t take away from the importance of this accomplishment.  ASML deserves to be proud.  He noted that the next generation pellicle is spec’ed to have a one-pass transmission greater than 88%, to be available next year if all goes well.  We’ll hear more about pellicle progress later in the week.

One of my favorite quotes of the day came from Andreas Frommhold of imec:  “Schrodinger’s contact hole”.  This is a contact hole that, due to stochastic variations, looks good at the top of the hole but doesn’t develop all the way to the bottom (some middle region doesn’t get enough photons or doesn’t generate enough acids to make the resist soluble).  The top-down SEM image ADI (after develop inspect, meaning after lithography but before etch) looks normal, but after etch the hole is missing.  He also noted that etching both increases the number of missing holes observed and the number of merged holes observed compared to ADI.  More work on etch process optimization is obviously required.

On Tuesday I heard a talk by Claire van Lare of ASML on making attenuated phase-shifting masks (attPSM) for EUV.  It is interesting to watch a new generation of lithographers learn the lessons of the past as she grappled with the problems of “high reflectance” attPSM such as sidelobe printing and reticle barcode reading, things that were experienced 20 years ago with high-transmittance attPSM at the 248nm wavelength.  I shudder to think about how OPC will deal with stochastic sidelobe printing.

It is rare now days to have any semiconductor manufacturer give a technical talk at a conference like this.  So I was very happy to see Intel give two talks this week.  Robert Bigwood talked about the role of edge placement error (EPE) in process definition, and he illustrated the value to Intel and to the industry of giving such talks.  He described the thought processes used by Intel to develop an EPE budget and to make process choices based on that budget.  In doing so he was able to challenge the audience with his needs.  First on the list was a sufficiently predictive full-chip etch model, since not every litho EPE will transfer into a complex film stack.  I also learned that stochastic variations of resist feature height are a critical issue for them.  I had not given this issue much thought before, but I will now.

During the resist session three companies talked about increasing resist absorption as a way to reduce absorbed photon shot noise without increasing dose.  All three companies showed that this is easier said than done.  Zeon made the most progress, with a 30% reduction in dose-to-size for similar contact hole local critical dimension uniformity (LCDU, a good measure of contact hole printing stochastics).  Zeon still has a way to go, however, since their non-chemically amplified resist still uses a higher dose than the more conventional chemically amplified resists.  Still, their progress was quite impressive.

I gave a talk on Monday afternoon, going back to my roots and the ideas that got me interested in stochastics more than 13 years ago.  I’ve been trying to develop a simple, analytical framework for predicting how the major factors affect roughness.  For a long time I’ve been stymied by quencher, a complication that I could not overcome mathematically.  I made some progress (as always, by making a simplifying assumption), and I am hopeful that my new derivations will prove useful.

The conferences are halfway through, and I’ll report more at the end of the week.  But I’ll end this post with a tribute to Tony Vacca.  Veterans of the Photomask Technology conference know that the highlight of the week is always the Bacus enterainment, a series of skits with singing and dancing that make fun of the people and players in our industry.  The all-volunteer cast works for months writing and rehearsing, with a frantic push at the end to make the show come together just in time.  For the last ten years or so this crew of “Bacanalians” (as we are called) was led by the amazing Tony Vacca.  Starting four months out he would line up the volunteers, solicit skit ideas, cull the list, flesh them out, edit (mercilessly cutting to make each skit shorter and funnier), manage music and videos, and then rehearse.  For an amateur actor like myself it was immensely fun, though incredibly stressful at the end.  But the stress that Tony was under was always immense.  Last year at the end of the show I thought Tony was going to have a heart attack from the pressure, and he announced that he was retiring from the Bacus entertainment.  No one stepped up to replace him, and this year we have no entertainment.  So tonight, when the conference banquet goes to the Monterey aquarium, I will be raising a glass of wine silently to Tony, with a smile on my face for all the wonderful Bacus entertainment memories that he enabled.  Thank you, Tony.

(And to help keep those memories alive, here is one skit from two years ago:  Mr. Pellicle.)

 

SPIE Photomask and EUVL Symposium 2019 – part 2

I love to see young people at conferences like this one.  They tend to be enthusiastic (not yet jaded), with a look on their faces that only comes from drinking information from a firehouse.  I still remember what that was like, wondering what a word like “pellicle” meant, or what some undefined acronym in a slide could possibly represent (EL? DOF?).  There was so much to learn, and I wanted to learn it all.  This week I have had the added privilege of bringing a brand new Fractilia employee, Jonathan, to his first conference and his first introduction to the lithography community.  These two conferences are a great place to start (587 people in attendance), as opposed to the SPIE Advanced Lithography Symposium (with closer to 2,000 people, twice as many parallel sessions, and ten times as many hospitality suites).  It looks like the lithography life will suit him.

On Wednesday there were more talks about a new absorber material to reduce the thickness, and the 3D mask effects, of features on an EUV mask.  The problem is, we could use that new mask now, but we are a long way from picking the new material(s).  As many people have noted, new material development typically takes at least 10 years, and often 20, before it is ready for manufacturing.

Weimin Gao of ASML gave a talk about extending 0.33 NA EUV single exposure patterning to the 3-nm node.  While a decent talk, he delivered the typical ASML message:  it is someone else’s fault.  To get to the 3-nm node specs for EPE (edge placement error), we need better masks, better resists, and better etch.  What was not mentioned was the need for a better scanner.  More dose, anyone?

Rik Jonckheere of imec gave a paper that I’m sure will become a standard reference point from now on.  He showed that small mask defects, too small to print, can increase the probability of a stochastic printing error (bridge or break).  He showed that mask defects of a variety of types and sizes produced a universal curve where the increased probability of a stochastic printing defect was controlled by the percent CD error caused by the mask defect.  It looks as if mask defects are an important contributor to stochastic defects.

We new it was coming, but still it was good to hear that Lasertec is ready to ship their new actinic patterned mask inspection tool.  This is great news, though there are still many unknowns about how to use this tool for mask manufacturing, repelliclization or requalification, etc. 

On the EUV pellicle front, Emily Gallagher showed impressively high transmittance from carbon nanotube (CNT) pellicles.  At least one more year of research is needed, though, before an industrialization effort for CNT pellicles can begin.

The Photomask Technology conference ended with a panel of mask experts talking about the EUV mask ecosystem for beyond-first-generation masks (I moderated the panel along with Harry Levenson).  Here is my take on the main messages of the panelists:

1. Everyone wants (and probably needs) a new high-k absorber material in order to reduce the absorber thickness and the 3D mask affects that are robbing our images of contrast.  But we don’t have sufficiently detailed specs on what we want from this absorber, and that is delaying material selection.  And material development always takes longer than one would expect, so we are unlikely to have new absorber masks ready for manufacturing in less than five years (and that is being very optimistic, in my opinion).

2. For the merchant mask makers, the cost of dedicated EUV-only tooling is too high.  It is not clear when (or if) the mask volumes to the merchants will rise to the level where paying for these tools is possible.

3. Moving from today’s EUV k1 of 0.45 to the k1 people want to be using (0.35) will be very hard.  It will mean tightening up the mask specs, but will also require a much better understanding of resist stochastic effects.  Mask blank quality will also have to improve, since smaller features will make it harder to cover up mask blank defects with the pattern (at least for metal patterns).

4. Local critical dimension uniformity (LCDU) on the masks will be very challenging to improve.

5. As EUV mask volumes begin to rise, the volume of returns to the mask shop will also rise dramatically (for repelliclization, cleaning, requalification, etc.).  Are the mask shops ready for this?

Thursday was a half-day of EUV-only talks.  Chris Anderson of Berkeley gave a talk on the MET5 that was great (and I don’t even care about the MET5).  He simply told a compelling story.  He also gets the “most beautiful slides” award (and there was no one else even close in the competition).  From Daniel Schmidt of IBM I learned how high-order overlay corrections, where the scanner stage takes on a curvy path to make these corrections, result in “image fading”, a blurring of the images similar to stage vibration.  For the cases he studied he saw something like a 2% worsening of the LWR as a result of these high-order corrections.  The last session was full of good stochastic papers, each one incrementally adding to our understanding.

As I prepare to leave beautiful Monterey, I’m going to Fieldwork for one last locally-made double IPA.  Till next year.

 

Chris Mack is a writer and lithographer in Austin, Texas.

© Copyright 2019, Chris Mack.

Diaries from other lithography conferences...