SPIE Photomask and EUVL Symposium 2019 – part 2

I love to see young people at conferences like this one.  They tend to be enthusiastic (not yet jaded), with a look on their faces that only comes from drinking information from a firehouse.  I still remember what that was like, wondering what a word like “pellicle” meant, or what some undefined acronym in a slide could possibly represent (EL? DOF?).  There was so much to learn, and I wanted to learn it all.  This week I have had the added privilege of bringing a brand new Fractilia employee, Jonathan, to his first conference and his first introduction to the lithography community.  These two conferences are a great place to start (587 people in attendance), as opposed to the SPIE Advanced Lithography Symposium (with closer to 2,000 people, twice as many parallel sessions, and ten times as many hospitality suites).  It looks like the lithography life will suit him.

On Wednesday there were more talks about a new absorber material to reduce the thickness, and the 3D mask effects, of features on an EUV mask.  The problem is, we could use that new mask now, but we are a long way from picking the new material(s).  As many people have noted, new material development typically takes at least 10 years, and often 20, before it is ready for manufacturing.

Weimin Gao of ASML gave a talk about extending 0.33 NA EUV single exposure patterning to the 3-nm node.  While a decent talk, he delivered the typical ASML message:  it is someone else’s fault.  To get to the 3-nm node specs for EPE (edge placement error), we need better masks, better resists, and better etch.  What was not mentioned was the need for a better scanner.  More dose, anyone?

Rik Jonckheere of imec gave a paper that I’m sure will become a standard reference point from now on.  He showed that small mask defects, too small to print, can increase the probability of a stochastic printing error (bridge or break).  He showed that mask defects of a variety of types and sizes produced a universal curve where the increased probability of a stochastic printing defect was controlled by the percent CD error caused by the mask defect.  It looks as if mask defects are an important contributor to stochastic defects.

We new it was coming, but still it was good to hear that Lasertec is ready to ship their new actinic patterned mask inspection tool.  This is great news, though there are still many unknowns about how to use this tool for mask manufacturing, repelliclization or requalification, etc. 

On the EUV pellicle front, Emily Gallagher showed impressively high transmittance from carbon nanotube (CNT) pellicles.  At least one more year of research is needed, though, before an industrialization effort for CNT pellicles can begin.

The Photomask Technology conference ended with a panel of mask experts talking about the EUV mask ecosystem for beyond-first-generation masks (I moderated the panel along with Harry Levenson).  Here is my take on the main messages of the panelists:

  1. * Everyone wants (and probably needs) a new high-k absorber material in order to reduce the absorber thickness and the 3D mask affects that are robbing our images of contrast.  But we don’t have sufficiently detailed specs on what we want from this absorber, and that is delaying material selection.  And material development always takes longer than one would expect, so we are unlikely to have new absorber masks ready for manufacturing in less than five years (and that is being very optimistic, in my opinion).
  2. * For the merchant mask makers, the cost of dedicated EUV-only tooling is too high.  It is not clear when (or if) the mask volumes to the merchants will rise to the level where paying for these tools is possible.
  3. * Moving from today’s EUV k1 of 0.45 to the k1 people want to be using (0.35) will be very hard.  It will mean tightening up the mask specs, but will also require a much better understanding of resist stochastic effects.  Mask blank quality will also have to improve, since smaller features will make it harder to cover up mask blank defects with the pattern (at least for metal patterns).
  4. * Local critical dimension uniformity (LCDU) on the masks will be very challenging to improve.
  5. * As EUV mask volumes begin to rise, the volume of returns to the mask shop will also rise dramatically (for repelliclization, cleaning, requalification, etc.).  Are the mask shops ready for this?

Thursday was a half-day of EUV-only talks.  Chris Anderson of Berkeley gave a talk on the MET5 that was great (and I don’t even care about the MET5).  He simply told a compelling story.  He also gets the “most beautiful slides” award (and there was no one else even close in the competition).  From Daniel Schmidt of IBM I learned how high-order overlay corrections, where the scanner stage takes on a curvy path to make these corrections, result in “image fading”, a blurring of the images similar to stage vibration.  For the cases he studied he saw something like a 2% worsening of the LWR as a result of these high-order corrections.  The last session was full of good stochastic papers, each one incrementally adding to our understanding.

As I prepare to leave beautiful Monterey, I’m going to Fieldwork for one last locally-made double IPA.  Till next year.

One thought on “SPIE Photomask and EUVL Symposium 2019 – part 2”

  1. Great stories and understandings the gentleman scientist have shared here. A great conference even for the readers who have not made there.

Leave a Reply

Your email address will not be published. Required fields are marked *