Category Archives: Microlithography

Semiconductor Microlithography

Finding Litho in the Library

In just one of many examples of my near-complete self absorption, I recently went to the University of Texas library to take a look at my lithography book on the shelf. I noticed the call number, TK7874.M196 2007, and for the first time wondered where each of those numbers comes from and who decides what they are.

I grew up in the dark ages of library science, with the Dewey Decimal system. Like a forced metric system, this 19th century invention of Melvil Dewey divides books into ten main classes, each with ten divisions, and each division with ten sections. A neat and tidy organization of human knowledge. But fitting today’s books into those same ten classes is awkward at best, and often quite limiting. That’s why most academic (and especially science and engineering) libraries use the rival Library of Congress Classification system. Trained library scientists at the Library of Congress (yes, there are many colleges that offer degrees in library science) create new classes as needed, making the system more flexible and expandable.

So are you learning more about libraries than you really want to know? Well let me at least explain the call number of my book:

T = Technology
TK = Electrical Engineering, Electronics, and Nuclear Engineering
TK7800 – TK8360 = Electronics
TK7874 = Microelectronics, Integrated Circuits
TK7874.M = Masks or Microlithography

The remaining numbers give a unique identifier to a specific book. So if you need to find a lithography book (at least, the kind of lithography that I practice), you now know where to look. But not quite. I think TK7874 is getting crowded. In the summer of last year the Library of Congress moved all new mask or microlithography books to a new number: TK7872.M. So there may be two places to look from now on.

Of course, one could always just use the on-line book catalogue and search, and leave these arcane details to the people who love arcane details: the library science majors (and me, apparently).

Lithography in Prague – Immersion

When SEMATECH first started its immersion workshops, these meeting proved immensely useful and important. Bringing together nearly everyone who was starting to take immersion lithography seriously, the interaction and discussion jump-started tool, material and process development and consolidated the growing momentum behind this technology. By the time the 6th (and last) immersion symposium was held here on Thursday and Friday, the urgency and excitement was long gone. It was just another lithography meeting (which is why the organizers announced that this was the last of the series). It was a good meeting, though, with reasonable attendance (about 150 people, half the attendance of the 3-day EUV symposium that preceded it) and some good papers. But there are lots of lithography conferences (too many to go to them all) and this one didn’t offer anything special (except Prague).

Most of the talks were double patterning related, as one might expect, with an emphasis on “litho-process-litho-etch” approaches (a generalization of “litho-freeze-litho-etch”) and “negative develop” (using a traditional positive 193 resist in such a way to get a negative image). There has definitely been progress in making these approaches more practical from a manufacturing perspective, and though some work remains they look very promising. There was very little on sidewall spacer approaches, but maybe that reflects the fact that this technology is already in production at Flash manufacturers.

Aside: The Miss Czech Republic beauty contest was held in the room next to the immersion symposium on Thursday through Saturday. And though burly badge-checkers kept us lithographers out of the festivities, there were plenty of beauty and the geek moments. A leggy and impossibly thin blonde walks by, stopping five lithographers in their tracks, jaws on the ground; lots of wide-eyed “did you see that?” comments.

I spent an extra day in the Czech Republic and went Saturday to Plzen, a town 100 km southwest of Prague that is the birthplace of Pilsner beer. The Pilsner style of lager gets its name from Pilsner Urquell, a beer first brewed in Plzen in 1842. I took a tour of the brewery (highly recommended), where their recently decommissioned 100-year-old brew house was converted into a sort-of beer theme park. Modernization of the plant over the last 10 years has made it state-of-the-art (reflecting, no doubt, investment by their owner SAB Miller). That freed up the old buildings to be dedicated to beer tourism. Much fun, especially the beer tasting at the end.

Lithography in Prague – EUV

I missed Monday’s papers, but I’m told that there were some good ones. On Tuesday, the highlight was ASML’s status report on their progress. In short, their progress has been remarkable. They have 800 people working on EUV lithography. Their very large EUV tool production facility opened in April of this year. It is rumored that they have invested $1B in EUV. Quite literally, they have bet the company on EUV. And when they present on their status, EUV lithography has the sense of inevitability.

[Aside: Nikon is much further behind. They have one in-house development tool, and their plan is to ship the first real tool they make to customers as a high-volume manufacturing tool in 2013. I’m doubtful. It is clear that Nikon has been unwilling to bet their company on the success of EUV lithography. Can you blame them? Canon is out of the picture. They presented an EUV lens design – that’s as far as they’ve gotten.]

Does the impressive progress of ASML mean that EUV lithography is on track to be ready for manufacturing in 2013? No. The problem is that the three major potential show-stoppers (source power, mask defectivity, and resist performance) are all outside of ASML’s control. Here’s my take on the status of these three challenges.

Source Power – Cymer has become the only game in town. The other source vendors are just too far behind. And while Cymer has made good progress, there is a long way to go (both in power and reliability, two related quantities). I find it troubling that their source development plan requires 90% of the source power improvement to come in the last 10% of the project timeline. Maybe they will do it, but the risk of failure is very high.

Mask Defectivity – Progress on this front has been too slow. Worse yet, we still don’t have measurement tools that can find the smallest defects, so we don’t even know how big the problem is. This does not inspire confidence. SEMATECH’s efforts to create a new consortium to fund mask inspection tool development (about to get underway) will likely be too little too late.

Resist Performance – There has been some good progress on resist sensitivity and resolution. But line-edge roughness (LER) is still too big by a factor of 2 or 3, and it is not getting better. There is no good model for predicting LER, and the Edisonian trial-and-error approach to finding a low-LER resist is unlikely to be fruitful. Hope seems to be pinned on post-development treatments to smooth out the roughness. But I’m not convinced that such treatments are anything more than cosmetic. Low-frequency LER is what will cause problems for devices, and post-development treatments do not (and can not) smooth out these low-frequency errors. My long-held view that the ultimate limits of optical lithography will be governed by line-edge roughness remains intact.

Overall, though, the success or failure of EUV lithography, like any manufacturing technology, will be determined by costs. Source power and resist sensitivity at adequate performance will determine throughput (wafers out per hour). Mask defectivity affects yield (good wafers out per hour). Couple that with the cost of the EUV scanner and we can estimate the cost effectiveness of EUV lithography. So how much will an EUV scanner cost? In response to a question from the audience, ASML said that an EUV scanner will weigh three times as much as a 193 scanner. Assuming price is proportional to weight (a surprisingly good correlation!), that means an EUV scanner will cost three times as much as a 193 scanner (over $100M). Since the competition for EUV lithography is double patterning, that means an EUV scanner will cost more than two 193 scanners. If we are generous and assume an EUV scanner costs the same as two double-patterning-capable 193 immersion scanners, the only way for EUV to be cost effective will be if its throughput equals today’s 193 throughput – about 150 wafers/hr. That has to be the target for EUV. Will they make it?

Postscript: As the symposium ended and all the participants filed out of the room, I noticed a different meeting taking place in the conference room next door. Glancing in the open door, I could see it was very different from meetings that I am used to – it was the contestants for the Miss Prague 2009 competition. Just a reminder that there are other things going on in the world besides lithography.

Lithography in Prague

This week, SEMATECH (along with Imec and SELETE) is sponsoring back-to-back symposia on EUV lithography and extensions to immersion lithography in Prague, Czech Republic. Since I was invited to speak at the immersion symposium, and because I love Prague, I decided to attend.

I have to begin with a story of personal transformation. Five years ago I had to have extra pages sewn into my passport because every page was full of stamps. (I won’t even tell you how many millions of miles I have flown in my life – too many.) I like traveling, especially to new locations, but even for me that amount of travel was too much. But in preparing for this trip, I glanced at my passport as I was making my travel arrangements and noticed that it had been expired for nine months! That shows how much my life has changed (much for the better) since I became a gentleman scientist (and a father) four years ago. Life is good.

I’m now here in Prague, jet-lagged and listening to EUV papers (not a happy combination). I’ll report on my impressions of the EUV conference tomorrow.

Day 3 – BACUS 2009

Day 3 is the last day of the conference this year. Due to significantly lower abstract submittals, the meeting was shortened by a day. After a set of fairly good papers in the morning, the afternoon was filled solely with a panel discussion (which I skipped in order to get home early).

Overall BACUS was a good conference, but it is definitely a shadow of its former self. Its not just that attendance was down (close to 600, versus something like 1000 each year during the middle of this decade), the energy level of the conference was down as well. It was worthwhile, but not exciting; fun but not one of the highlights of my year. Of course most of the malaise is due to the economy, but part is due to the state of the technology as well. EUVL is making progress, but any rational person has to be very skeptical of its eventual success. Double patterning receives too many complaints about cost, and especially mask costs (a sensitive topic at this conference), to be excited about it. Imprint will likely play important niche roles in manufacturing, but won’t save CMOS. Mapper is interesting, but may be too late to be a big player. Where does that leave us? Not having as much fun as we used to.

But moods can change quickly (like when everyone realized almost simultaneously that immersion lithography was real). We’ll see what happens this spring, where the only thing that is inevitable is a smaller Advanced Lithography conference in San Jose.

Day 2 – BACUS 2009

I began the second day with a four mile run on the beach, watching Venus and the crescent moon disappear as the sun rose behind the city of Monterey. Glorious. I ran with Charlie King, and had trouble keeping up with him. But then, he is so much younger than me.

Since I was way behind on finishing up the paper I had to give in the afternoon, I missed most of the morning talks. There were some very nice talks in the afternoon, but unfortunately mine was the only one on my favorite topic – line-edge roughness. My conclusion: mask writer-induced line-edge roughness cannot be ignored.

Best new product acronym: LAIPH – Luminescent Automated Image Processing Hub. The author pronounced it as “life” but it looks more like it should be pronounced “laugh”. It’s good to see that Luminescent is spending their money on engineering rather than marketing.

My broken record: I’m sorry to say that the lower number of papers at the conference has not resulted in a lower number of papers containing graphs with no numbers on the x and y axes. Why would someone show a graph in their presentation that contains absolutely no information? I don’t get it.

I enjoyed the dueling papers by KLA-Tencor and the German mask shop AMTC, where KLA showed that more measurement data was needed to know that a photomask was in spec, and the mask shop countered that less data was needed (their masks are just that good!). I found the KLA-Tencor paper (which used a non-KLA measurement tool, by the way) more convincing.

The day ended with the conference banquet, but with something missing. No entertainment. 2005 was the last year with true BACUS entertainment (skits and songs by industry insiders full of corny insider jokes). The demise of the entertainment is a long story – maybe I’ll tell it (from my perspective) someday. But I do miss it. If you have never seen it, or want to be reminded of it, check out these pictures from the days when I was a part of the cast.

Day 1 – BACUS 2009

It’s great to be back in Monterey! I’m at the 29th annual BACUS Photomask Technology Conference. This is its 10th year in Monterey, and unfortunately I’ve missed the last few years due to teaching commitments at UT. But I’m not teaching this fall, so I couldn’t pass on this one.

Monterey, California will always be a special place for me. I met my wife for the first time here seven years ago. And we got married in Monterey 15 months later. A beautiful town during a beautiful time of year. Oh yes, and the conference is usually a good one as well.

[Aside: BACUS is not a reference to the god of wine, despite the amount of that liquid consumed at the poster session each year. It is an antiquated acronym standing for the Bay Area Chrome Users Society – something I’ll bet that a majority of conference attendees don’t know.]

The day began with Mike Polcari, CEO of SEMATECH, giving the keynote address. He gave the standard compelling argument for collaborative research, and the standard not-so-compelling argument for EUV lithography. My favorite quote: “EUV is inevitable”. It reminds me of Mr. Smith from the movie The Matrix saying “Do you hear that? That is the sound of inevitability.” Surreal.

There was an abundance of papers coupling simulation with high resolution mask inspection images to automatically predict defect printability. I remember working on this eight years ago with Intel, back when nobody else would listen to the idea. Patience is a virtue. It could also be that every struggling OPC company with an aerial image model is desperately looking for a product that might sell.

Attendance at the conference this year is down, but not nearly as much as I would have expected. Maybe companies are anticipating the beginnings of a recovery. The mood is definitely less pessimistic (less pessimistic is the new optimistic), thinking that the worst is behind us. Let’s hope so.

Tropical Topical Lithography

Two weeks ago (has it been two weeks already?), the 2009 International Workshop on EUV Lithography began on the island of Oahu. Waikiki beach, to be exact. After two days of short courses (I taught one on Tuesday), the two-day workshop began on Wednesday.

By my estimation there were about 50 people in attendance – a very nice size for getting to know people and making connections (I did both). The workshop began with a keynote talk by Sam Sivakumar of Intel. Mostly boilerplate stuff – why EUV lithography is needed, what progress has been made, and where the gaps are. He did mention, though, that Intel’s “15 nm node”, which will have about a 30 nm half-pitch (for the SRAM) and enter high-volume manufacturing in 2013, is still ambiguous as to whether EUV or double patterning will be used. During the question and answer I pressed him on this point, and he admitted (for the first time, as far as I know) that the Intel plan of record for their “15 nm node” will be double patterning, switching “quickly” to EUVL once it is proven more cost effective.

[For the fun of it, let’s render that last statement in the ultimately concise language of corporate technospeak: The current Intel POR for 15nm HVM is DP, not EUVL.]

[Why the “scare quotes” around Intel’s “15 nm node”? With a 30 nm half-pitch, I would call this the 30 nm node. But then, I don’t have a degree in marketing.]

Samsung then gave an even more generic talk on EUV mask readiness (synopsis: not ready). Over a glass of wine later that evening, a Samsung litho engineer gave me the quick answer as to why Samsung is so interested in EUVL. After a thorough economic analysis, Samsung doesn’t believe it can make a profit producing DRAM using double patterning. Thus, without the scaling that an economically viable EUV lithography process would enable, life for the average Samsung lithographer would become (more?) hellish (meaning an unending focus on cost rather than technology). I can’t blame him for working hard to make EUVL successful.

After the keynotes, Hiroo Kinoshita of the University of Hyogo received a Lifetime Achievement Award (plaque plus a >$1000 cash-filled envelope) from the workshop organizers and EUV community. Sometimes described as the “father of EUV lithography”, Kinoshita did the first work and wrote the first paper on EUVL in the mid-1980s while at NEC. I got to know Hiroo a few years ago when he translated my Field Guide to Optical Lithography into Japanese. Thus while I’m quite biased, he’s a great guy, and I was happy to see him receive such acclaim.

The second day of the workshop began with a panel discussion on the status of EUVL R&D. I asked the question about who is doing the fundamental research needed to understand the causes of line-edge roughness (my current topic of interest, as I believe it will prove to be the fundamental limiter to optical lithography resolution). The answers were not encouraging. Later that day there were good papers by the University of Osaka in Japan and Hanyang University in Korea, but I think the answer is that not enough fundamental work on this topic is being done.

In the end, I enjoyed the workshop, and I came away as convinced as ever that Vivek Bakshi will never drive my Lotus.

It is now ten days after the workshop ended, and I am still here in Hawaii. I love the life of a gentleman scientist.

EUV Lithography in Hawaii

I’m back once again in the great state of Hawaii. Like last year, my nominal excuse is to attend the International EUV Lithography Workshop. And while I am still at work writing up my blog post on this topic (it is amazing how quickly I acclimate to island time), here is something to tide the interested reader over. Sally Adee, a journalist with IEEE Spectrum, was at the workshop and has been blogging on what she saw.

http://www.spectrum.ieee.org/blog/semiconductors/devices/tech-talk/i-believe-in-euvl-i-do-i-do

http://www.spectrum.ieee.org/blog/semiconductors/devices/tech-talk/intel-fellow-gunshy-about-euv-future

Wow. Did I really say all that?