SPIE Advanced Lithography Symposium 2021 – days 3&4

On Wednesday and Thursday there were several live events, and I went through many, many prerecorded talks.  There were three metrology keynotes.  The Samsung talk (on Tuesday, but I watched it later) was a broad overview of the challenges for metrology in the semiconductor industry (summary:  its challenging).  The KLA and Applied Material keynotes, while containing some interesting information, were mostly marketing presentations – not my favorite style for this conference.  The Novel Patterning keynote was given by a former lithographer that I was happy to see back at this conference – Mike Fritze, now at a Washington think tank.  He talked about the market for low-volume IC manufacturing, now dominated by the use of used equipment.  Since Moore’s Law scaling has dramatically slowed and will slow even more in the coming years, and since the latest generation of tools may be too expensive to operate at any time for anyone but the biggest volume fabs, will there be a market for special-built lithography tools (such as direct-write) to serve the long-term needs of a growing ASIC (application -specific integrated circuit) market?  Mike raised interesting questions, provided interesting speculations, and presented historical data in useful ways – a great talk.

Mike’s talk was interesting to watch just before Donis Flagello’s Optical Lithography keynote.  More than 10 years ago Nikon ceded the next-generation lithography landscape to ASML, then also lost considerable market share in 193-immersion tools.  What is Nikon’s growth strategy in lithography?  Donis showed us that it is mostly emerging new markets, either outside the semiconductor market or niches within the semiconductor industry.  Nikon has been working on an optical direct-write tool for some time (the so-called Digital Scanner), but also is getting into 3D manufacturing with a tool for additive and subtractive direct metal processing for both macroscopic and microscopic patterning.  The most interesting idea, for this community anyway, was a concept for a two-beam EUV imaging tool.  A combination of a grating phase mask and one-direction wafer scanning could produce sub-30nm pitch lines and spaces over an entire wafer.  The simplified optical design would have much higher optical transmission, enabling good throughput with only moderately ridiculous EUV sources.  At least in concept.  We shall see if Nikon will invest the HUGE amount of money it would take to bring a tool like this to market.

I presented what was called a live “tutorial and networking event”.  The topic was how to use the power spectral density to understand roughness (a prerecorded talk), and what made it a networking event was that viewers could turn on their cameras at the end and ask me questions directly, rather than through the Q&A feature of Zoom and relayed by the moderator.  I liked that format, and was surprised to find out that 20+ minutes for Q&A was not enough!  The second such event will be on Friday with Ralph Dammel, which I am very much looking forward to.

I attend both poster sessions for about 30 minutes each.  The same posters were available in each, and the two sessions (one morning, one late afternoon) were intended to enable Europe and Asia to participate in at least one.  They were very disappointing.  It is not because the software platform (Remo) did not work well – I actually liked it.  Each poster was set up at a virtual table, and attendees could move to any table, view the poster (as a “white board” that anyone at the table could point to), and interact with others at the table if they wanted.  This worked pretty well.  The problem was that very few of the poster authors actually showed up.  We can view the pasters any time we want on the digital library, so the whole point of the live poster session was to interact with authors.  None of the authors I wanted to talk to were there on either day.

There were a slew of good papers that I watched.  Jan Van Schoot gave a great overview of ASML’s progress on their high-NA EUV system (which was considerable).  Still, I find their timetable on deployment terribly optimistic, even given ASML’s considerable tool development prowess.  Eric Verhoeven described the NXE:3600 due out this summer, another useful and needed incremental advance of the core NA=0.33 EUV system.  Since the 250W sources have been out in the field for a while, and by all accounts working well, everyone is looking towards the next source power advance, possibly as high as 500W. 

There were many papers on EUV stochastic defectivity (a particular interest of mine).  So here is some blatant self-promotion.  Danilo De Simone in his talk on 28nm pitch single patterning with EUV showed CD and unbiased linewidth roughness measurements using MetroLER and said “There is a correlation between defectivity and roughness. This is also an important point to mention.”  The reason it is important is that roughness is easy to measure (with tens or hundreds of SEM images), but defectivity for a good process may require many thousands or millions of SEM images.  I authored or coauthored three papers this year, all of which I will claim are useful contributions to the field of stochastic measurements and their use (but I am biased, even if my measurements are not).  The paper by IBM that I coauthored (I only helped with some of the measurements) showed yet another example of how biased roughness measurements can produce incorrect trends and decisions as compared to unbiased measurements.

Have you ever heard of the Kullback-Leibler divergence?  Neither had I, but thanks to a paper by Zachary Levinson of Synopsys, I’m going to look it up.  Luke Long of UC Berkeley contributed nice simulation work on the impact of diffusion, development, and etch to the 3D mechanism of missing contact holes.  I also watched several good etch/patterning papers (helping to reduce, ever so slightly, my knowledge gap on that topic), covering selective deposition and atomic layer etch/deposition cycles.  These approaches can produce aspect-ratio dependent results, which enable healing of stochastic variations of line/spaces or contact holes.  Fascinating.  Nayoung Bae of TEL taught me about DRAM contact hole staggered array formation using crossed SADP or SAQP lines, and the multiple populations of holes that result.  Characterizing the stochastics of the lines and spaces helps to understand the LCDU of the resulting holes.

It was good, busy, and technically packed couple of days.  I’m looking forward to the final live events of Friday as the conference wraps up.

SPIE Advanced Lithography Symposium 2021 – day 2

Attending a virtual conference is obviously much different than in-person.  When it comes to Advanced Lithography, one of the biggest differences is the lack of parallel sessions.  A typical Tuesday at the San Jose Convention Center would involve dashing between sessions to catch talks, sometimes cursing that the two talks I wanted to see most were at the same time, and sometimes realizing that there are no talks I want to see for the next two hours.  There is a lot of “task switching”, where my mind alternates among the physics of shot noise, the chemistry of resist development, and the usefulness of the latest metrology tool advance.  This week on Tuesday, I binge-watched all the metrology talks (or rather, the 50% or so of them I was most interested in).  It was both fun and exhausting.

As one might expect, the highlights for me were the topics that most closely related to my work.  I watched the presentations on the latest SEM tools, though there was essentially nothing related to the physics of SEM image formation, my special interest.  There were many papers on how to employ SEM contours in metrology use cases rather than just traditional CD values, a topic that I have been seeing at this conference for 25 years.  It seems we have still not solved all the many issued required to make that happen.  Yosuke Okamoto’s talk helps explain why – contours can change significantly depending on the scan direction of the CD-SEM.

Of course, there were many papers on roughness measurement, with most of them related to machine learning in some way.  I have to admit that I am not a big fan of image denoising.  Maybe I’m just an old guy who prefers understanding the physics rather than letting a neural network make connections we can never understand.  I also think that many people working on image denoising are not carefully defining metrics of success that a metrologist would appreciate, things like accuracy and precision, repeatability and the size of the error bars around your answer.  Getting an image that looks less noisy is not success.

I liked George Orji’s talk on wavelet analysis of roughness.  Someday I’ll have to do the work to really understand wavelets, beyond the surface level I have today.  My hat’s off to George Papavieros for trying to measure LER with a SEM pixel size (in the direction perpendicular to the line edge) that is greater than the 3sigma LER.  That is not something I want to try.  I am a big fan of the stochastic process window (something that both Fractilia and ASML have been promoting lately), and there were a few ASML talks with some interesting results.  In a stochastic process window, one includes stochastics measures (such as defectivity, LCDU, or unbiased LWR) in the focus-exposure process window determination in addition to CD.  From Mary Breton’s talk I got a good sense of the nanosheet gate fabrication process and what metrology needs exist at each step.

I also watched the EUV conference keynote, a “live” event on Zoom.  Jos Benschop gave a very nice (prerecorded) talked, followed by live Q&A.  And sure enough, it was the Q&A that was the most interesting part.  I also will have a live event Wednesday afternoon (3pm Pacific Time), a tutorial and networking event.  This is a new presentation format for this conference, so we are all anxious to see how it will go.  The 35-minute prerecorded tutorial on the power spectral density will be followed by 25 minutes for Q&A and networking.

With my metrology binge-watching over, it is time to move on to the other conferences as the next day begins.

SPIE Advanced Lithography Symposium 2021 – day 1

As with the in-person version of this symposium, AL21 began Monday morning with an opening session and two of the three plenary talks.  This was a “live” event, though I think a recording of it will show up in the SPIE Digital Library soon.  The first item of business was the awarding of new SPIE Fellows in the lithography community.  Congratulations to Yi-sha Ku of ITRI in Taiwan, Anna Lio of Intel, John Robinson of KLA, and Mark Somervell of TEL for becoming fellows this year.  The Frits Zernike Microlithography Award went to Bruce Smith of RIT – congratulations for this well-deserved honor.  It is also a bit overdue, due to Bruce’s long-time service as the chair of the Zernike Award committee, which made him ineligible until he left that post.

The Nick Cobb Memorial Scholarship went to Yuka Esashi of the University of Colorado Boulder, who is working on her PhD in Physics on the topic of EUV reflectometry.  I had a chance to talk to Yuka during a virtual student networking event later that evening and I suspect she will be much sought after in the semiconductor industry when she graduates in a couple of years.

After the loss of one of the greats from our community, Bill Arnold, it was appropriate that his friend and colleague Mircea Dusa gave a nice overview of his contributions to our industry and a series of remembrances about his life.  Thank you, Mircea.  Bill will be missed.

The opening remarks ended with an overview of the changes that will come to this symposium next year.  First, the name of the symposium will change from Advanced Lithography (AL) to Advanced Lithography and Patterning to reflect the continued integration of lithography with deposition, etch, and other processes to produce complex patterns.  The biggest change will be the merging of the optical and EUV lithography conferences into the “Optical/EUV Nanolithography and Practices” conference, reflecting the emergence of EUV as a manufacturing technology.  The computational lithography pieces of the old Optical Lithography conference will now go to the renamed “DTCO and Computational Patterning” conference.  There have also been a few smaller changes to the scopes and names of the other conferences.  The result will be a symposium with six conferences next year, rather than the seven we have this year.

As Michael Mayberry of Intel began his plenary talk, I quickly realized one of the major downsides of a virtual conference.  It seems that none of my regular work meetings for the week have been cancelled.  How did that happen?  I had to leave Mike’s talk just as he was getting started.  Eventually, I believe that this plenary will be available on the SPIE Digital Library so I can finish watching it, but not yet.

I did see John Hu of NVIDIA give his plenary on trends in high performance computing.  While I enjoyed the talk in general, I have two specific complaints that can’t go unmentioned.  First, as an example of the power of GPU rendering he showed a clip of a digital supermodel walking around in a bathing suit.  This is obviously inappropriate for a technical conference, especially one in a male-dominated industry like ours.  Second, at the end of his talk, he described the goal to “create a virtual world better than real”.  Really?  First of all, I don’t think that could ever happen.  But if it ever did that only means we should stop working on creating better virtual worlds and focus on improving the real one.  Time to pick a better goal.

I also began the process of working my way through the many interesting technical talks (viewable on demand).  I’ll have more to say about what I am learning from them in a subsequent post, but let’s just say I’m a fan of 1.5X speed.

SPIE Advanced Lithography Symposium 2021 – prologue

Beginning in 2006, I have blogged each year at the SPIE Advanced Lithography Symposium, the major event of the year in the field of lithography and patterning.  Each morning of the conference I would wake up very early and write a post about my impressions from the day before.  (A complete collection of these “conference diaries” can be found here.)  I have always enjoyed the process of writing, and even more enjoyed the reactions these posts got.  Numerous people, unable to attend, would following along with my posts and capture just a small sense of what this fantastic event was all about.  Putting my thoughts into words has always been clarifying for me, with the added benefit of helping me organize the massive amount of information that was flooding over me, with the hope that some if that knowledge might stick.

This blogging tradition is just one more casualty of the crazy pandemic year.  At last year’s conference we were all talking about Covid, using hand sanitizer, and wondering whether it would actually turn into a pandemic.  I think, in hindsight, we were very lucky that the 2,000 attendees had no known transmissions during the conference.  Within two weeks of the end of AL 2020 much of the country began shutting down.

And now it is one year later.  An in-person conference is out of the question.  Instead, SPIE has created a “Digital Forum” for AL 2021.  There will be a few “live” events sprinkled throughout the week, but all of the technical talks have been prerecorded and are available for viewing at any time during the week to conference “attendees”.  The registration fee for the conference is about half the cost for attending in-person last year.  The first live event will be the conference kick-off on Monday (8am pacific time).

Of course, I will miss being there in person, seeing old friends and making new ones, talking in the hallways, drinking too much coffee in the mornings and beer in the evenings, laughing and learning, even doing a little business on the side.  I will miss seeing the audience when I give a talk.  I will miss approaching the microphone to ask a question after listening to someone else’s talk.  But given our current reality, I am looking forward to attending this week’s on-line events and binge-watching technical talks.  I’ll take advantage of the unique benefits of asynchronous viewing, slowing down or speeding up the flow of information to my needs and desires.  It won’t be perfect, but I think it will be good.  Let the Digital Forum begin!

Bill Arnold

It is with great sadness that I report the death of my friend and colleague William (Bill) Arnold. He died this morning after a long battle with cancer.

I met Bill in 1986, when he was already an important figure in the field of lithography. At AMD he blended theoretical understanding of imaging with the practical know-how of getting things done in manufacturing. He went on to become Chief Scientist at ASML before retiring a couple of years ago. He was also President of SPIE in 2013, one of his many acts of giving back to the community that he loved.

But these and many other technical achievements, numerous and significant though they were, pale in comparison to the humanity and generosity that defined Bill’s personal impact throughout his career. He was wicked smart, clear and insightful, and always willing to discuss or explain a subtle point. He was honest and straightforward, someone you could trust to be forthright even when business was on the line or competitors were in the room. He cared deeply about his work, and the lithography community he spent so many years working within. He was greatly respected as a technologist, manager, colleague, and friend.

In short, he was the kind of person I admired and strived to be like. I will miss him.

Added note to my post: Here is the SPIE “In Memoriam” post for Bill.

https://spie.org/news/william-arnold_obit

The Bacchanalians Return

In years past, the annual SPIE conference on photomask technology (referred to as BACUS by us old-timers) included an entertainment program with insider jokes and songs from industry folks (who called themselves “Bacchanalians”). The conference was all online this year, so some of the Bacchanalians made of few videos in the spirit of the BACUS entertainment. Thanks to Any Neureuther, Bryan Reese, and Tony Vacca for giving us something to smile about, and for reminding us of the long tradition of fun we had at the BACUS Entertainment.

Andy Neureuther inspires the NFL

EUV-a-Matic 2020

Masks for the Internet of Things

Where I am NOT this week

Like most people, I am at home this week. Where I am NOT is New Orleans, attending the 3-beams conference (EIPBN, the Electron, Ion, and Photon Beam Technology and Nanofabrication conference), which has been canceled this year. To see where I hope to be this time next year, this is how the conference chairs announced the venue for 2021:

Solar Panels, Year 3

Here is another annual update on my solar production and electricity consumption. In late January, 2017, I turned on my new solar panels. I have 30 panels, 320 W each, for a total capacity of 9.6 kW (LG320 NeON2 MonoX Plus panels with microedge converters). In the first year I generated 96% of the electricity I consumed (a magical year, with near perfect weather – sunny, but not too hot). The second year was not as good since my consumption went up 6% and my generation was down 10%. The result was that my panels generated 81% of my usage for the second year. The third year is off a little more. My consumption when up 1.5%, and my generation was down 5% compared to the second year. The final result is that the panels generated 76% of my usage in the third year. The weather is the biggest variable, and I need to find an authority that measures the amount of sunshine in Austin so that I can try and determine if there are any other factors than that at play.

A lithography casualty

The canceling of conferences has become an inevitable consequence of the COVID-19 pandemic.  Today the first lithography conference took a hit.  The 64th International Conference on Electron, Ion, And Photon Beam Technology and Nanofabrication (EIPBN, also known as three-beams or triple beam), scheduled for the end of May in New Orleans, has been cancelled.  I’ve enjoyed this conference many times in past years, and I look forward to attending again in the future.

Musings of a Gentleman Scientist