Running: I can still do it

Ten years ago I took up running as a sport, and found that I really liked it.  I ran two marathons, seven half marathons, and some 10Ks.  All was good; I was meeting my goals and improving my times, until I hurt my knee.  I had cartilage repair surgery, just before a major study showed that these surgeries worked no better than physical therapy alone.  Ah well.  That was five years ago, and I had several abortive attempts to start running again, always followed quickly by a re-injury of that knee.  Finally, a slow and deliberate recovery coupled with weight training of the muscles around the knee allowed a comeback.  This week I ran my first race in five years – the 3M Half Marathon.

I wasn’t sure what to expect.  My goal was to beat 2 hours, so I chose a pace just fast enough to make that time and very carefully stuck to that pace through the whole race.  I kept waiting to poop out, but the miles went by and I never did.  My last two miles were my fastest, and I finished the race at 1:58:35.  That’s only 4 minutes slower than my most recent 3M half of five years ago – an acceptable age-related slowdown!

Incidentally, I ran a 10K five years ago with the goal of running it in my age in minutes, something I accomplished to within three seconds.  For this week’s half marathon I ran two 10Ks back to back, and the second one had a time of 55:11.  That’s 30 seconds faster than my age!  I’m back.

Where’s My Flying Car?

Last Wednesday, Oct. 21, was Back to the Future Day.  I know this because I was invited to a Back to the Future party, where we watched the 1989 Micheal J. Fox movie Back to the Future Part 2.  It is the second of the movie trilogy and in it our heroes travel to the distance date of 10-21-2015.  The future (now three days in the past) is predicted to have clean streets (fairly true), ubiquitous television screens (very true), terrible fashion (absolutely true), and flying cars (not so true).

Predictions of flying cars are not restricted to the campy side of science fiction.  The marvelous Ridely Scott classic Blade Runner (1982) shows overcrowded cities, life-like androids, and flying cars.  It is set in 2019.  Will the next four years bring us flying cars, as well as androids indistinguishable from humans?

At least Star Trek had the wisdom to project 50 years into the future rather than 30 or 40. In its 1968 first season, we are introduced to the infamous Khan in the episode “Space Seed”.  Thanks to a Federation historian, we learn in this episode that Warp Drive, with the ability to travel at speeds greater than light, is invented in 2018.

Ah, if only reality could live up to science fiction.  I’d love a flying car. But I’d settle for self-lacing Nikes.

It’s a Global World

We all know we live in a highly interconnected world.  News travels at the speed of the internet, and a huge number of goods and services compete on a global scale.  But who’d have thought that the war in Ukraine would be significantly impacting semiconductor manufacturing, and lithography in particular?

Because of this, I’ve learned far more about neon than I thought I ever would.

Yes, neon, noble gas, element number 10.  70% of neon production comes from Ukraine and Russia (one company, Iceblick, makes 60% of the world’s supply, and all of that goes through its plant in Odessa).  Neon is a byproduct of steel production, but because it is a rare component of the waste gases, it only makes sense to recover it at extremely large steel plants.  This is where Ukraine and Russia come in, since they still operate the old-style massive manufacturing plants that have long since disappeared from Western countries.

But why is neon important in lithography?  Excimer lasers use gases like KrF and ArF to generate light, and those gases are regularly changed out during use.  But a charge of excimer laser gas is actually about 98% neon, a carrier gas that is essential to the laser’s operation.  With the Russian-supported separatists fighting in the Ukraine, supplies have become highly constrained.  The price of neon has increased 6X in the last year, to about $1/liter, but worse yet there are shortages.  And since a fairly large share of the world’s consumption of neon is used for excimer lasers, this has got the excimer companies worried, and their semiconductor customers as well.

What to do?  Gigaphoton has announced a “Neon Gas Rescue Program” to reduce neon consumption for ArF lasers by 50%, and Cymer is working to reduce neon consumption as well.  In the meantime, we wait and hope for a peaceful and speedy resolution to the crisis in Ukraine.  And I’ll never take neon for granted again.

Professor Arnost Reiser, 1920 – 2015

Dr. Arnost Reiser, chemist, photoresist researcher, professor, and Holocaust survivor, died on August 4, 2015 at the age of 95.  Since 1982 a professor at the NYU Polytechnic School of Engineering, Reiser died at the school’s Rogers Hall where he continued to visit regularly even after he stopped teaching.

In the lithography community, Reiser is best known for his development of KTFR (Kodak Thin Film Resist), the first commercially successful photoresist for semiconductor manufacturing.  He is also well known for his studies of Novolak-diazonaphthoquinone resist mechanisms.  Reiser worked at Kodak from 1960 – 1982, then left to start the Institute of Imaging Sciences at Polytechnic University.

I remember devouring his 1989 book Photoreactive Polymers: The Science and Technology of Resists, published at a time when there were far too few serious books on photoresists.  But what really intrigued me about his work was the topic of percolation and how it might be related to photoresist development.  Reiser pioneered this topic, and I have to admit that I am still trying to understand it.

But as remarkable as his professional career was, his personal life was even more inspiring.  A Jew born and raised in Prague, Reiser was sent to a Nazi concentration camp in Czechoslovakia before being sent to Auschwitz.  After the war he earned his degree in chemistry and went on to teach and write a popular Czech textbook, Physical Chemistry.  With his family, he escaped communism in 1960 by jumping from an East German boat off the coast of Denmark and swimming to shore.  He was arrested by the Danes, but released after Niels Bohr interceded on his behalf.

He lived a remarkable life, and I am glad I was able to know him.

Here are a few links with more details of his life story:

http://engineering.nyu.edu/news/2015/08/18/memoriam-arnost-reiser

http://engineering.nyu.edu/cable/issue/fall-2011/news/faculty/arno%C5%A1t-reiser-survivor-%C3%A9migr%C3%A9-author-groundbreaking-chemist

Reiser’s testimony about being sent to a Nazi concentration camp in 1942:

https://www.youtube.com/watch?v=KahKwbYoJnc

A short book on his life published in 2010:

http://vydavatelstvi.vscht.cz/knihy/uid_isbn-978-80-7080-754-5/978-80-7080-754-5_en.pdf

Goodbye, SEMATECH

In August of 1990 I joined SEMATECH for a one-year assignment that, among many benefits, brought me to Austin.  In those days, SEMATECH was a great place to work, full of energy and promise (and yes, too much politics as well).  The pre-competitive research consortium wasn’t very efficient at spending money to make a difference, but it most definitely made a difference.  It was what the US industry needed at a time of competitive uncertainty, and it attracted some really great people working in a uniquely collaborative environment.

Ten days ago SEMATECH announced its own dissolution, as it merges with SUNY Polytechnic Institute in its new home in Albany.  It saddens me to say that my first thought at hearing this news was “ten years too late”.  While SEMATECH was the right organization at the right time in the 1990s, it lost its way in the 2000s and never recovered.  As the semiconductor industry, and the world, changed to be more global, SEMATECH’s original mission of shoring up the US semiconductor industry became obsolete.  But instead of recognizing its fading value, SEMATECH proved the first law of organization:  organizations strive first and foremost for the survival of the organization.  With all due respect to the many good people that worked there over the years (and still do today), the survival of SEMATECH became the primary goal of SEMATECH, with helping the semiconductor industry a distant second.  They left Austin in 2010 chasing money that the State of New York dangled in front of them, and completed their slide into irrelevance.

So, it is with decidedly mixed feelings that I say goodbye to SEMATECH.  The last decade has been one of lost opportunity for the organization, but their accomplishments over the years are worth remembering.  Mostly, though, I’ll remember the many good people, and good friends, that SEMATECH brought my way.

Salute.

Moore’s Law turns 50

On April 19, 1965  Gordon Moore published a paper in Electronics magazine entitled “Cramming more components onto integrated circuits“.  Thus was born Moore’s Law, an observation that has driven the semiconductor industry ever since.

I have written a piece for IEEE Spectrum magazine that discusses the history of Moore’s Law and celebrates its impact on our industry, and the world.  You can find that article online here:

The Multiple Lives of Moore’s Law

International Pi Day

What better way to spend this year’s unique international pi day (3.14.15, preferably at 9:26:53 AM) than to go to the world’s most famous circle.

Chris Mack at Stonehenge

Chris Mack celebrates international pi day at Stonehenge

OK, truth be told this was Sunday morning, the 15th, but it was still pi day in Hawaii, so I’m counting it.  The t-shirt proves my nerd bonafides.  For a full description of the day, check out my wife’s blog:  lippsmackadventures.blogspot.com.

 

Awards from the SPIE Advanced Lithography Symposium

Here is a recap of the various people who were recognized with awards at this year’s SPIE Advanced Lithography Symposium. (photos by SPIE, http://spie.org/x112637.xml)

Career Achievement Award
Andy Neureuther and Bill Oldham were acknowledged for their “career long contribution to the art and science of lithography.” The award was made “in deep appreciation for your 40 years of visionary guidance and dedication to SPIE society and lithography community.”

award1

12th Frits Zernike Award
Ralph Dammel, CTO of AZ Electronic Materials

award2

Fellows of SPIE
Luigi Capodieci, Bernd Geh, Moshe Preil, Masato Shibuya, and Obert Wood

award3

From the Metrology conference:
Diana Nyyssonen Award for Best Paper in Metrology (2014 conference):
“10nm three-dimensional CD-SEM metrology”, András E. Vladár, John S. Villarrubia, Jasmeet S. Chawla, et al.,

Karel Urbanek Award for Best Student Paper (student lead author at this year’s conference):
“Mechanical and thermal properties of nanomaterials at sub-50nm dimensions characterized using coherent EUV beams”, Kathleen M. Hoogeboom-Pot, Jorge N. Hernandez-Charpak, Travis Frazer, Xiaokun Gu, Emrah Turgut, Univ. of Colorado at Boulder; Erik H. Anderson, Weilun L. Chao, Lawrence Berkeley National Lab.; Justin M. Shaw, National Institute of Standards and Technology; Ronggui Yang, Margaret M. Murnane, Henry C. Kapteyn, Damiano Nardi, Univ. of Colorado at Boulder

From the Patterning Materials conference:
C. Grant Willson Best Paper Award (2014 conference):
“EUV Resists based on Tin-Oxo Clusters”, Brian Cardineau, Ryan Del Re, Hashim Al-Mashat, Miles Marnell, Michaela Vockenhuber, Yasin Ekinci, Chandra Sarma, Mark Neisser, Daniel A. Freedman, and Robert L. Brainard

Hiroshi Ito Memorial Award for the Best Student Paper (2014 conference):
“An insitu hard mask block copolymer approach for the fabrication of ordered, large scale, horizontally aligned, Si nanowire arrays on Si substrate”, Tandra Ghoshal, Ramsankar Senthamaraikannan, Matthew T. Shaw, Justin D. Holmes and Michael A. Morris

Jeffrey Byers Memorial Best Poster Award (2015 conference):
“EUV Resists Comprised of Main Group Organometallic Oligomeric Materials”, James Passarelli, Brian Cardineau, Ryan Del Re, Miriam Sortland, Michaela Vockenhuber, Yasin Ekinci, Chandra Sarma, Mark Neisser, Daniel A. Freedman, and Robert L. Brainard

From the Design Process Technology Co-Optimization conference:
First Annual Franco Cerrina Memorial Best Student Paper Award (2015 conference):
“Toplogy and context-based pattern extraction using line-segment Voronoi diagram”, Sandeep K. Dey, Univ. della Svizzera Italiana (Switzerland)

From the Optical Lithography conference:
Cymer Best Student Paper Award (2015 conference):
“Characterizing the dependence of thick-mask edge effects on feature size and illumination angle using AIMS images” Aamod Shanker, Andrew R. Neureuther, Laura Waller, Univ. of California, Berkeley; Martin Sczyrba, Advanced Mask Technology Ctr.; Brid Connolly, Toppan Photomasks

Musings of a Gentleman Scientist