SPIE Advanced Lithography Symposium 2019 – day 1

The conference remains strong, with about 2100 total attendees (nearly constant over the last 10 years).  The plenary session Monday morning began as always with awards.  We recognized seven new SPIE fellows from our community:  Yasin Ekinci (Paul Scherrer Institut), Jo Finders (ASML), Lynford Goddard (University of Illinois), Stephen Hsu (ASML), Hakaru Mizogushi (Gigaphoton), David Ruzic (University of Illinois), and Martha Sanchez (IBM).  Congratulations!  The first annual Nick Cobb memorial scholarship was awarded to Haoyu Yang of Chinese University of Hong Kong.  The scholarship (sponsored by Mentor Graphics) is quite significant ($10,000).

The Zernike Award for Microlithography is an annual award and our community’s highest honor.  Last year it was not given as we chose instead to honor Nick Cob after his untimely death.  This year, to make up for that gap, two awards were given:  Obert Wood (Global Foundries) and Akiyoshi Suzuki (Gigaphoton).  Congratulations – two highly deserving honorees.  (Full disclosure:  I’m on the award selection committee so I am not an unbiased observer.)

The current president of SPIE, Jim Oschmann, was in attendance to give out SPIE’s President’s Award to Bill Arnold for his outstanding service to the society.  So far as I recall, this is the first time this award has been given to someone from the lithography community.  Since Bill was on the stage anyway, he was further commemorated on the occasion of his impending retirement from ASML (which happens April 1, as I understand).  I hope, however, that this will not be the last time we see Bill in our community.  Two other notable retirements were also mentioned:  Pat Wight (long-time SPIE staff representative to this symposium) and C. Grant Willson of the University of Texas at Austin (more on that later in the week).

We often have some very good plenary speakers at this symposium, but not always.  But it rare to have three very good ones the same year, so we were quite fortunate this year.  Dario Gil, former lithographer and now Director of IBM Research, gave a great overview of quantum computing and IBM’s efforts in building one.  I especially like their open-access model to allow the public to write and run code on their 5-qubit quantum computer.  As expected, he confirmed that error rates are the biggest limiter to scaling up quantum computations.  I have to admit that I still have a spooky feeling about quantum computing.  I’m not sure that I am wishing for its success.

The next two plenary talks dived into the details of 3D NAND manufacturing.  I learned much from both (and enjoyed the tag-team presentation format from Lam Research and ASML).  I liked learning about the tricks used to make the stair-step patterning practical, with 6 lithography steps plus 42 resist trim steps combined to make 48 stair-step patterns.  Cool. The level of vertical integration in 3D NAND is becoming quite staggering.  Over 100 layers of transistors!  With scaling up continuing into the foreseeable future (possibly to 512 layers)!  Wow.  Lots of patterning challenges.

I went to the EUV session for the two keynote talks, one by on old lithographer (Bernd Geh of Zeiss) and the other by a young lithographer (Ryoung-Han Kim of imec).  Actually, I don’t think of Bernd as old (he is too close in age to me), but that is how he described himself.  As for me, I was the grumpy old lithographer portrayed in a cartoon at the end of his talk.  I accept the characterization, though I am grumpy only sometimes.  The best bit from Bernd’s talk was his definition of “k4”, a scaling constant relating measured LCDU (local critical dimension uniformity) caused by stochastics to NILS (normalized image log-slope) and exposure dose.  Alas, he exhibited the standard physicist bias:  there is nothing we can do about photon shot noise (since we understand that), but since we don’t understand the ultimate stochastic limits within the resist, we just need a better resist.  Ryoung-Han Kim provided my favorite quote of the conference so far:  “We used to say EUV would simplify OPC.  Now we know that EUV complicates OPC.”

I shifted to the Metrology session to hear Ofer Adan talk about AMAT’s new SEM.  Or actually I heard him not talk about AMAT’s new SEM since he didn’t really say anything about it.  I gather that it is a higher electron voltage tool that collects and combines signals from new backscatter and secondary electron detectors.  But I’m not sure.  The goal was to see multiple layers of the device at once in order to measure within-device overlay.  Back at the EUV conference I heard the papers in the high-NA session.  But since high-NA EUV lithography is many years away, it was mostly plans and simulations.  The exception was Chris Anderson’s talk on the newly operational MET-5, a microfield EUV lithography tool with NA = 0.5 built by Lawrence Berkeley National Labs.  I’m sure this will serve as a very valuable resist testing platform, just as the MET-3 did.

I ended the conference day by giving a keynote talk at the Novel Patterning conference.  My talk was entitled “Will stochastics be the ultimate limiter for nanopatterning?”  If you missed the talk, I’ll give the abridged version:  Yes.

The evening involved a customer dinner for my day job at Fractilia, followed by staying out too late and drinking too much beer.  The first of many similar nights this week I am sure.

One thought on “SPIE Advanced Lithography Symposium 2019 – day 1”

Leave a Reply

Your email address will not be published. Required fields are marked *