SPIE Advanced Lithography Symposium 2019 – day 2

Tuesday was stochastics day for me, but before I start talking about papers let me talk about the conference as a whole.  My technical area of interest – stochastics – is a cross-cutting technology, meaning that papers on this topic can be found in almost every conference at the Advanced Lithography Symposium.  That is great, but it is also problematic when two or more papers on this same topic are being presented simultaneously in different conferences.  Last year I pushed for better coordination among conferences for cross-cutting technologies and the conference organizers listened!  They came up with a really good solution:  define three cross-cutting technologies (stochastics, overlay, and machine learning), assign various papers in various conferences to these technologies as appropriate, then create “tracks” (sessions within various conferences with no overlap).  It is working great!  Of course, it is not perfect, but the number of conflicts for me so far have been far reduced.  Kudos to Will Conley and the rest of the conference organizers for making this happen.  I certainly hope it will be a permanent feature of future symposia.

The two morning stochastics sessions in the EUV conference were great.  There was a nice combination of theoretical studies and experimental work, with both wafer printing studies and more fundamental measurements.  The industry is (finally) putting serious scientific attention to this fundamental problem, including the announcement of imec’s new AttoLab facility to probe the fundamental mechanisms of EUV resist exposure.  Peter Di Bisschop’s paper (delivered expertly by Eric Hendrix) added more depth to his important stochastic defect studies.  Anuja De Silva of IBM also gave a talk full of interesting results.  I liked her decision to use a 30 nm pitch as a “canary in the coal mine”, an easy way to make stochastic defects and thus to try out ideas for reducing them.  Steven Grzeskowiak of SUNY CNSE used flood exposure of resist with 80eV electrons as a model for EUV exposures – a nice approach. Roberto Fallica of imec showed off some great fundamental studies (as he always does), this time using photoelectron spectroscopy.  I was intrigued by Mark Maslow’s idea of correlating stochastic defects with what he called “Tail CD”, mean plus three sigma, rather than mean CD.  It is a simple idea (after you have thought of it!) that seems to have value.  It is on my list of things to investigate carefully when I get back to the office.

In the afternoon I stayed on the stochastics track as it switched to the metrology conference.  I gave a talk about taking the SEM out of SEM measurements, and heard several other good talks on roughness metrology.  A full day of nothing but roughness and stochastics – just about heaven for me.

The evening ended with the all-conference panel discussion.  Regular readers of these conference diaries will know that I sometimes (OK, almost always) complain about panels being boring.  There are three things that make a panel boring:  a topic that is too narrow, a topic that is not controversial, and powerpoint.  Especially powerpoint.  So when Will Conley (Symposium Chair) asked Harry Levinson and I to put a panel together, we knew what we had to do.  We agreed on an all-conference panel with a major theme (Is this the end of scaling?).  We decided to use questions that might elicit some controversy, and then tried to make it fun so that it would be interesting.  Here are those questions

1) The technology for which conference at this Symposium is most responsible for bringing about this end of scaling?
2) How is the technology of your conference saving Moore’s Law?
3) If lithographically-driven scaling does come to an end, what topics will you list in your conference’s call for papers?
4) How will we redefine the meaning of Moore’s Law in order to keep its spirit alive?

Finally, we refused to let any panelist get even near powerpoint.  And it worked!  We started out with 400 people in the room, and a majority of them stayed through the biggest part of the time.  I’d be interested in getting more feedback, but the comments so far have all been positive.  Thanks to our great panelists for making it work so well:

Tony Yen (EUV Lithography)
John Petersen (Optical Microlithography)
Rob Aitken (Design-Process-Technology Co-optimization)
Ryan Callahan (Patterning Materials)
Rich Wise (Etch Technology)
Erik Hosler (Novel Patterning Technologies)
Phillipe Leray (Metrology, Inspection and Process Control)

We agreed to disagree on almost every point, except that progress in lithography and patterning will require cooperation by us all.

Leave a Reply

Your email address will not be published. Required fields are marked *