Category Archives: Microlithography

Semiconductor Microlithography

SPIE Advanced Lithography Symposium 2018 – day 2

Midway through the week, my first impressions have solidified into a clear view of the conference themes.  I think we can call this the year of stochastics.  Five years ago it was hard to get anyone to listen when you talked about stochastic effects in lithography, but today it seems to be the only thing people are talking about.  What has changed?  EUV lithography is close enough to reality that people can imagine, and even visualize, using EUV to make something other than test images for their SPIE paper.  We can visualize making devices, devices that must yield.  And it is not a pretty picture.  For years we talked about progress in all the other areas of EUV lithography, with a parting comment that “EUV resists must improve” to fix the stochastic effects.  But now it is clear that we must attempt to make devices with the resists we have today, and no miracles are on the horizon.

The other thing that has changed is the shift in emphasis from stochastic-induced roughness to stochastic-induced defects.  It is hard for us lithographers to understand how an extra nanometer of linewidth roughness might affect our devices, but it easy for us to understand the implications of a missing contact hole.

I started my day in the Metrology conference with a session dedicated to LER/LWR measurement.  Gian Lorusso introduced the “imec protocol”, his attempt to standardize the measurement of roughness (full disclosure:  I was a coauthor).  He began by describing an exercise imec performed where they sent a set of identical wafers to 13 companies and asked them to measure the linewidth roughness and send back the results.  The answers he received varied by +/- 30%.  The need for standardization in measurement is obvious.  The main problem is bias in the measurements due to SEM noise (and how that bias varies with measurement conditions), so the most important recommendation is to always use unbiased measurements.  He also described how the ITRS recommended measurement approach has become outdated with today’s low correlation length processes:  a 2-micron line length is no longer needed.  Gian’s paper is extremely important, and I hope that the imec protocol is widely followed from now on.

My first paper of the conference was in this same session, which included simulation results that were finished the night before (cutting things just a little too close!).

It may seem like I am pitching too many papers that I was a co-author on, but I am going to do it anyway.  Charlotte Cutler of Dow gave an excellent talk on her use of power spectral density analysis to improve resist materials.  As a resist maker, Dow regularly measures features after the lithography step (in industry jargon, ADI:  after develop inspect), but has little access to after-etch results since those depend heavily on each customer’s etch process.  But when it comes to roughness, it is the after-etch performance that matters.  So, Charlotte needs to correlate her ADI measurements to after-etch results.  Traditionally, that has meant looking at the ADI 3-sigma roughness with the assumption that a low ADI 3-sigma roughness would translate into a low after-etch 3-sigma roughness.  Alas, it often does not.  To explore why, she created two matrices of resist formulations and measured the power spectral densities of the roughness of each of them.  She found that while after-develop 3-sigma roughness was not a good predictor of after-etch 3-sigma roughness, the after-develop unbiased PSD(0) was.  I predicted last year that this approach would work (in my EUVL Symposium paper), and it is very gratifying to see this prediction proved out experimentally.

There seem to be fewer ASML papers at the conference this year (is it my imagination?), but I did catch Jan von Schoot talking about their plans for a high-NA EUV scanner.  Every time I see drawing of this tool, or pictures of the lens manufacturing facility under construction at Zeiss, I am amazed at how massive and complicated this tool will be.  Perhaps it is designed to make the NXE:3400 seem only moderately complex.

I walked around the poster session (much smaller than in years past), and saw quite a few good ones.  The conference is now half-way over, but I won’t say it is a downhill ride from here.  Wednesday will be exciting!

SPIE Advanced Lithography Symposium 2018 – day 1

The plenary session Monday morning began with awards.  We recognized four new SPIE fellows from our community:  Jason Cain, Alexander Starikov, Peter Trefonas, and Reinhard Voekel.  Congratulations!  We had no presentation of the SPIE Frits Zernike Award for Microlithography this year, for a very sad reason.  Just before the award committee was to vote on the winner, one of the nominees for the award, Nick Cobb, died.  (I’m on that committee.)  So instead of making a Frits Zernike award this year, we decided to honor Nick with a special mention and the establishment of the Nick Cobb Memorial Scholarship (thanks to the generation contribution of Mentor Graphics and a matching contribution by SPIE).  It was very touching to see Nick’s family there for this special recognition.

The three plenary talks were all interesting, and each as different from the other as they could be.  Yan Borodovsky came out of retirement to discuss his views on the biggest challenges still facing EUV lithography as it nears high volume manufacturing (HVM).  I liked this quote about EUV:  “It’s not about if, or even when, but how well?”  Yan focused on the quality problems of EUV in two major areas.  First, the EUV mask is a complex phase shifting mask with unintended phase shifts.  Controlling and managing these phase shifts is critical and difficult.  Second, stochastic defects “must be eliminated for EUV HVM” according to Yan.  How to do this in the short term is unclear, but since these stochastic failures increase dramatically as feature size decreases, the long-term solution is even more problematic.  Yan suggested that the only practical approach is to live with them by moving our logic computing devices to some type of fault-tolerant architecture, such a neuromorphic computing or fine-grained cores (thousands of small cores, so that if one or a few go bad you still have a valuable chip).  I’m not sure how long it will take to move away from the standard Von Neumann computing architecture, but it won’t happen in the next few years, that is sure.

Dan Hutcheson gave his typically upbeat assessment of the future of Moore’s Law – somehow the community will overcome the technical hurdles because the economic incentives to do so as so compelling.  But of course, this will not be true forever.  Dan’s opinion was that trying to predict when the end might come would be self-defeating by reducing one’s motivation to forestall that end.  I don’t agree, but I understand his point.

While Dan’s talk gave a 40,000-foot view of the economics of lithography, Stephen Hsu’s plenary talk dove into the gory details of OPC and RET (resolution enhancement technology) from ASML’s perspective.  The many innovative technologies developed by ASML to improve NILS (normalized image log-slope, a measure of aerial image quality) will result in reduction in stochastic problems, but it is clear that this will not be enough.  Thus, Stephen reminded us that “more resist improvement is needed for EUV.”  To that I counter that more improvement in the EUV source is in fact what is needed, and no call to improve resists should be unaccompanied by a call to improve source power.

George Gomba gave the first keynote address of the EUV conference and he made the same mistake as Stephen Hsu:  admitting that EUV photon shot noise was a big problem and then calling on resist improvements as the required solution.  In then end, however, he accurately described the three major unsolved problems in EUV as stochastic failures, mask defectivity, and meeting the source power roadmap going forward.

Following George was the second keynote by Chris Ober of Cornell, talking about his group’s approach to developing EUV resists.  Unfortunately, in a parallel conference Geert Vandenberghe of imec was also giving a review of the status of EUV resists.  The lack of coordination between parallel sessions in different conferences is a perennial complaint of mine.

In the afternoon I attended the joint EUV and resist session.  I have to admit a great thrill at seeing Fractilia’s roughness measurement product, MetroLER, used so effectively in nearly all of the papers in that session.  TEL and imec gave four of the five talks in the session, and both are users of MetroLER.  Thus, my view of those papers is quite biased, unlike their measurements, which were quite unbiased.  (Sorry, LER measurement nerd humor). 

The 6pm conference welcome reception was very nice – I liked it being held in an open area of the conventional hall floor rather than in a room, and I like the beer that was available.    After many good conversations at several different hospitality suites, it was back to my room to prepare for my first talk, early the next morning.

SPIE Advanced Lithography Symposium 2018 – day 0

Sunday is, for most attendees of the Advanced Lithography Symposium, a travel day to get to San Jose, or just a normal Sunday for those who are local.  But it is technically the Symposium’s first day.  For me it was a 14 hour day, beginning with teaching an all-day short course (with John Petersen), giving two talks at different company-sponsored workshops, and ending with a planning dinner for next year’s conference.  Let’s just say I’m all warmed up and ready for the papers to begin!

2018 will be a very important year in the development of EUV lithography towards high-volume manufacturing (HVM).  (I’m pretty sure I say this every year.)  ASML now says that it’s NXE:3400 will be the tool that is capable of HVM, and the first 3400 was shipped and installed at Samsung the end of last year.  Will we see some early results from this tool?  I’m not holding my breath.

Attendance this year will be similar to that of the last 10 years, in the 2000-2200 range.  But abstract submittals were down 11% from last year.  That disconnect (still strong attendance but reduced author participation) is probably a result of the continuing industry consolidation.  In particular, the semiconductor makers are shrinking to a “big three” plus a few others.  But the real problem is that the big three are not pulling their weight as authors.  Intel is giving exactly zero papers at the symposium this year.  Zero. TSMC is the primary author on three papers.  Samsung is primary author on 10 papers (getting more respectable).  Filling the void left by the big three are GlobalFoundries (with nearly 40 papers) and imec (I didn’t even try to count).  It’s time for Intel and TSMC to step up and become more enlightened: contributing to the advancement of the lithography community as a whole is good for the whole industry, including them.

OK, I’ve had my first rant.  I guess that means the conference has officially begun.

SPIE Advanced Lithography Symposium 2018 – a prologue

Just over one year ago I launched, with Ed Charrier, our new company, Fractilia.  After inventing a new concept for detecting edges in a noisy SEM image, we released last July the first version of our flagship product, MetroLER, for measuring the roughness of line/space patterns.  Last week we released a second version of MetroLER, including the measurement of contact holes.  It has been a very busy year.  Alas, I have had the give up my title as Gentleman Scientist – I have a real job now!

But old habits die hard, and the mindset of the Gentleman Scientist is not easily revoked.  As we approach this years’ SPIE Advanced Lithography Symposium, I will attempt to wear two hats (and titles).  As CTO of a start-up, I will be meeting with customers, giving papers showing results from our product, and evangelizing about the right way to measure pattern roughness and stochastic effects in lithography.  But as a lingering Gentleman Scientist I will also try to appraise the state of lithography in our industry.  I’ll watch a small subset of the many presentations given over the next week and let you know in these posts what I think.  I’ll see old friends, have interesting hallway conversations, and drink too much every night.  As always, I will learn a tremendous amount and have a great time doing it.

I’ll also experience a fair amount of cognitive dissonance as this skeptic of EUV lithography works hard to make EUV lithography a success.  If EUV lithography cannot attain long-term commercial viability I don’t want it to be due to poor pattern roughness measurement!  Like everyone else, I’m looking forward to hearing about all the latest developments in EUV, but also in the many other subjects that bring us lithographers together.

So look out San Jose, here come the lithographers!

Lithography in the Land of Fortified Wine

43rd International Conference on Micro and Nanoengineering (MNE 2017)
September 18-22, 2017, Braga, Portugal

I love port.  The fortified wine is lovely before or after dinner, and makes me feel more sophisticated and refined than I deserve.  Port is made exclusively in the Duoro Valley of northeastern Portugal, then aged in wooden barrels in the coastal city of Porto.  I’ve always wanted to visit there, so when I discovered that the 2017 MNE (Micro and Nanoengineering) conference was being held in Portugal, I made a plan to attend.

I’ve been to MNE twice before, in Leuven and Grenoble, but that was many years ago.  This conference is much like the 3-beams (EIPBN) conference in the US, or the MNC (microprocess and nanotechnology conference) in Asia:  academic, nanotechnology oriented, with some lithography content (though diminishing over the years).  The timing of the conference was good this year, because I was just finishing up a joint project with Gian Lorusso and his team at imec (Belgium) and we needed a venue to publish our work.  MNE fit the bill.

The conference was held in the small northern town of Braga, chosen because it is home to the brand new International Iberian Nanotechnology Lab (INL), where the conference was held.  With about 800 people in attendance (and 535 oral and poster papers), it is a vibrant and growing conference.  So much so that the MNE community has decided to create a new professional society to manage itself.  This was announced for the first time at the conference, and I’m not sure any of us know what that means.

I thought that two of the best talks were the two opening plenary talks.  The first, by Frank Schuurmans, was on the history of ASML plus a discussion of EUV (extreme ultraviolet) lithography.  There was nothing new there for me, but it was good for this academic audience to see what could happen when the multibillion-dollar might of the corporate world is applied to a difficult technical problem.  Olivier Joubert is an academic with a foot in industry, working at CEA-Leti but heavily involved with Applied Materials.  His plenary talk on trends in plasma etching was definitely the best plasma etch talk I’ve ever heard.  The two big drivers for etch technology today are Flash, requiring very high ion energy to dig deep holes, and FinFETs, requiring very low ion energy to prevent damage.  The third driver is the growing list materials that need to be etched.  He discussed line-edge roughness (my favorite topic), proposing that UV light from the plasma is the main cause of the smoothing that occurs during etch.  He also said “There are very few places in the world where you can accurately measure linewidth roughness.”

The plenary talks were held at the elegant and historic Theatro Circo in downtown Braga, and between the two talks was an “artistic performance.”  The performance was modern dance (some of it in cleanroom bunny suits), music incorporating sounds recorded at INL, and a surrealistic video based on scanning electron microscope images.  It sounds weird, but it was fantastic!  The group of performers worked with the INL “artist in residence”, a position as valuable as it is unexpected.  I hope more organizations follow what I hope will be a trend and establish artist in residence programs.

That afternoon Victor Blanco of imec gave a keynote talk “EUV insertion at the N5 node”.  It was the same talk I had heard the week before from Greg McIntyre at the EUVL Symposium in Monterey, but it was great for this audience to hear what the semiconductor industry is doing and what our challenges are.

On Wednesday I enjoyed a talk by Lars-Erik Wernerson of Lund University, Sweden, on progress towards vertical nanowire transistors using alternate channel materials.  It is good to see progress being made on what I suspect will be the future transistor architecture of mainstream logic devices.  Naomi Halas of Rice University gave a fabulous talk on the use of metallic nanoparticles for various sustainability initiatives.  Her talk made clear how many amazingly interesting and important projects can make use of nanofabrication (not just boring old semiconductors).

The conference banquet on Wednesday night was held in a port wine cellar in Porto, with my first (but not last) exposure to the port wine making process.  It was a great dinner, but in the tradition of the region finished very late into the night.  It was hard to get up for the first talks the next morning.

On Thursday I enjoyed a talk by Mark Schvartzman on nanodumbbells (if you’re curious, look them up).  Thursday also had a few interesting metrology papers, but overall the overlap between the content of the conference and my interests was not terribly large.  I gave my paper as a poster on Tuesday, and by Thursday I was saturated with as much nanotechnology as I could absorb.  I decided to play hooky on Friday, skipping the conference in favor of visiting Porto.  I got to downtown about noon and went straight to the port cellars.  Seven hours, five cellars, and 27 tastings of port later I declared the last day of the conference a success.

Photopolymers in Japan

34th International Conference of Photopolymer Science and Technology
Chiba, Japan, June 26 – 29, 2017

Until this year, I had never been to the photopolymer conference (technically known as the International Conference of Photopolymer Science and Technology).  Many of my resist friends have told me for years how good it was, but I never seemed to have the proper motivation (or excuse) to go.  Until this year.  I was invited to give a keynote talk in the computation lithography session at exactly the time I needed to go to Japan to visit customers to promote the imminent release of my new company’s first product.  Synergy happened.

And so, I found myself in Tokyo, a city I greatly enjoy and have missed (since I stopped traveling nonstop 12 years ago, coinciding with my entry into the life of a gentleman scientist).  The photopolymers conference is moderately small and slightly international (this year was typical with 295 attendees, 240 of which were from Japan).  There are three parallel sessions (two in English, one in Japanese), with strong but not exclusive focus on materials and processes for semiconductor lithography.

The conference began with a special talk by Paul Nealey of the University of Chicago, who received an outstanding achievement award from the conference’s sponsoring society for his seminal contributions to the field of directed self-assembly (DSA).  It was an excellent talk by a person who well deserved the honor bestowed on him.

I enjoyed Danilo De Simone’s talk on “Photo Material Readiness at the Eve of EUVL HVM” (EUVL = extreme ultraviolet lithography, HVM = high volume manufacturing, Eve = some unknown date in the future).  Danilo did not explicitly state the answer to his title’s query, so I will:  not ready.  Robert Brainard did answer the query in the title of his talk “What We Don’t Know about EUV Exposure Mechanisms”: a lot.  Patrick Naulleau gave a great talk (as always), explaining well why stochastic-induced linewidth errors are not Gaussian distributed (they have very fat tails).

One of the most interesting ideas I learned about was lithography post-processing using “sequential infiltration synthesis”:  after forming a resist feature, deposit a material (such as alumina) that can subsequently infiltrate the resist to create a network, then plasma ash the resist to reveal just the network.  Yes, the overall roughness was lower (based on biased roughness measurements that I don’t believe), but the interesting thing was the correlation between the left and right edges of the feature.  The original resist feature had uncorrelated edges (the LWR was about 40% larger than the LER), but post processing there was considerable correlation between the edges (the LWR and LER were about equal).  This means that the network created inside the feature stretched from edge to edge.  Fascinating, though thanks to the 65% line shrinkage I’m not sure it’s useful.

Tuesday ended with a panel discussion on EUV insertion into high volume manufacturing.  The panelist presentations were quite predictable, expressing optimism while pointing out the well-known gaps.  As expected, the ASML presentation was the most optimistic, claiming manufacturing insertion for EUV as early as the second half of 2018.  That is one year away!  I laughed out loud when I heard that.  When I asked if that prediction was serious, the response was “it depends on how you define manufacturing.”  In today’s fact-challenged world, every word is up for redefinition.

Many of the resist talks focused on metal photoresists, either through the addition of metal to a chemically amplified resist, or the design of a metal-based resist from scratch.  The higher absorption of these resists has the potential to improve the stochastics at EUV, where photons are precious.  So far, though, chemically amplified resists still outperform any of the metal-based resists at a given dose.  Even with better absorbed photon statistics, a metal resist still must do everything else right, especially perform at high resist contrast.  Resist fundamentals do not change with material platform.

There were also many interesting DSA talks.  I especially liked the use of high-speed AFM to watch microphase separation during annealing of DSA patterns with bake time (Kenji Yoshimoto of Kyoto University).  I started off the computational lithography session with a talk on lithography stochastic fundamentals.  I didn’t write up a paper, but I’ve posted my slides here.  During that session I enjoyed listening to Sander Wuister of ASML talk about modeling metal resists.

After a wonderful conference banquet Wednesday night, Thursday began with an hour-long invited talk by Chris Williams of Virginia Tech, teaching us about additive manufacturing.  It was a great talk about a fascinating field, with a mind-boggling number of potential applications.  I was extremely interested to see many innovations from the chip packaging field (such as photosensitive polyimides) starting to influence materials research in 3D printing.

Robert Brainard updated his talk from SPIE earlier this year on double-deprotected chemically amplified resists.  The idea is to increase the deprotection reaction order from 1 to something closer to 2 in order to increase the chemical gradient after post-exposure bake.  While this could work, the stated goal of lowering roughness using a higher gradient will not work.  Roughness is proportional to noise over gradient and a higher reaction order will, at best, increase noise and gradient in direct proportion.  Added steps can only add more noise on top of what’s already there, so I think this idea can only lead to more roughness, not less.

Overall, the photopolymers conference is a great venue for talking about resist chemistry, is less commercial than the SPIE resist conference, and gave me the chance to get to know more of my Japanese resist colleagues.  I’m glad I came.

And now, a story from the “Another Reason Why I Love Japan” department.

After spending two days in Tokyo, I took a train Tuesday morning to Chiba to attend the start of the photopolymers conference.  When I went to my hotel to check in, I reached into my pocket and found nothing – my wallet was missing.  Lost?  Stolen?  I didn’t know.  But I knew I couldn’t check in or pay my conference registration fee, and that it would be a long five days in Japan without money or a credit card.  When I relayed this story to my friend Nagahara-san, he immediately said “This is Japan.  Your wallet was not stolen.  You’ve lost it, and someone will turn it in.”  Taking his advice, I asked the front desk at my hotel to call the train stations I had visited that morning.  Checking back at lunch time, my wallet was found and was at the office of the first train station I had visited that morning!  An hour and a half later, I was at the train station with my wallet in hand, missing not a single thing (including the cash)!  I love Japan.

Captive in Orlando

61st International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication
Orlando, FL, May 30 – June 2, 2017

Unlike some conferences, the 3-beams conference moves to a new location each year.  This means that some years it is in a location I like better than other years.  Last year was Pittsburg (liked it), in the past it has been in Las Vegas (didn’t like it), and this year it was in Orlando, Florida.  I like Orlando, at least when I’m with my family and we are going to Disney or Harry Potter World.  But when your conference is at a resort (Disney’s Coronado Springs, in this case) and you’re not there with family, you become trapped.  It was a 20 minute cab ride to any restaurant or bar that wasn’t part of Disney.  So I ended up eating mediocre resort food and paying $11 for a beer.  The only redeeming factor was that the conference was good.

Historically, the core of the triple beam conference has been lithography (using electrons, ions, photons, and non-beams) along with correlated disciplines (resist, etch, deposition, elf-assembly, etc.).  The application of these fabrication techniques to make devices has always been important to the conference as well.  It is not a manufacturing conference (one presenter described electron-beam lithography as a “high throughput” option for nanopatterning), but that is what I like about it.  It is quite academic, with many students giving papers (a plus for someone like me who spends more time at industry-focused conferences).  Unfortunately, this year saw a larger than normal number of visa cancelations (students who couldn’t get visas to travel here to present).

One of my favorite papers of the conference was a plenary talk by D. Frank Ogletree of Lawrence Berkeley National Labs.  As our industry barrels towards implementing UEV lithography in manufacturing, we still lack answers to very fundamental questions about the exposure mechanisms for EUV resists.  Ogletree described one way to study the complicated interactions of a high-energy photon with a resist material by interacting those photons with model compounds in the gas phase.  Simplifying the interactions allows for much more detailed measurements and easier interpretation of results.  The surprising outcome was how high-energy EUV photons could cause massive fragmentation of the resist molecules.  The full implications for resist chemistry are still unknown.

Dr. Nishikawa of the University of Tokyo gave an interesting talk on directly exposing and cutting DSA (directed self-assembly) patterns using direct-write e-beam lithography.  The results looked very promising.  My favorite student paper was by Yao Luo of Texas A&M, who is working on my favorite topic – stochastic-induced line-edge roughness.  In a technique that I have also successfully employed, she used a Monte Carlo simulator to create simulated scanning electron micrographs of simulated rough features with known statistical characteristics.  She then examined the impact on SEM noise on the ability to properly measure feature roughness.  Great work.

The multi-beam maskwriter company IMS gave an update on their tool development.  They are currently shipping a maskwriter tool with 262,144 programmable electron beams, each with 20-nm resolution capability.  This enables the printing of a state-of-the-art photomask in about 10 hours, limited mostly by the data path (275 TB of data for one mask).  This is great progress for mask making, but we all want to know, how much faster can this tool become?

There were several interesting papers on DSA (from MIT and NIST, among others), but little progress on its application to the semiconductor industry.  Carolien Boeckx of Imec discussed the healing properties of DSA for contact holes.  Unfortunately, you still can’t heal a missing contact.

I gave the last paper of the last day of the conference.  My thanks to the 25 hearty souls who persevered to the end and sat through my talk on how SEM errors influence line-edge roughness measurements.  I then made a mad rush to the airport to catch the last plane to Austin.  I needn’t have rushed.  Thanks to weather my flight was canceled and I found myself captive in Orlando for one more night.

SPIE Advanced Lithography Symposium Postscript

It is 10 days since the SPIE Advanced Lithography Symposium, and I have finally finished and submitted all my papers!  If you are interested in any of them, you can find them on the Fractilia website here:

https://www.fractilia.com/technology/

Note that for the tutorial talk I gave I will not be preparing a paper, but you can get a copy of the slides I presented.  And for those you who didn’t attend the talk, this is the way it ended:

 

SPIE Advanced Lithography Symposium 2017 – day 4

In the second talk of the morning in the EUV session, Andrew Liang of Lam Research showed how much work it takes to optimize a new process, and how that work can pay off.  Local critical dimension uniformity (LCDU) is a term that refers to stochastic-induced variation in CD.  Conventionally, CDU looks at the variation of CD across a chip, exposure field, wafer, and lot caused by things like mask CD variation, film variations across the wafer, focus control across the exposure field, hotplate temperature uniformity, and many other factors.  The length scale of these variations tend to be quite large compared to the pitch of the patterns being printed, so that two feature next to each other are assumed to be largely affected in the same way by all of these variations.  Stochastic variations, on the other hand, have a length scale (called the correlation length) that is small compared to the feature size so that we can understand its impact by looking at any features, even ones right next to each other.  By measuring the CDU of a small group features (a 7X7 array of contact holes, for example) we can isolate the stochastic impact on CD uniformity from the other CDU factors.  This is the idea behind LCDU.

Liang optimized the hard mask below the resist by switching to a thinner PECVD film, optimized the lithography process to maximize the image log-slope, and optimized the etch process using atomic layer etching.  The last item is the most interesting to me, since it looks like it is possible to use an etch rate that varies as a function of aspect ratio to compensate for resist CD variation.  When the resist CD is too small, the aspect ratio of the hole is higher.  For a typical etch process, this higher aspect ratio would cause shadowing of the etchant and a reduction in etch rate, making the small hole even smaller.  But etching can also involve polymer deposition on feature sidewalls to slow etching down.  If that polymer deposition slows down with higher aspect ratio, maybe it is possible to increase the etch rate when contact holes are too small, thus improving the LCDU.  To me this seems like magic, but only in the sense of Arthur C. Clarke’s third law, “Any sufficiently advanced technology is indistinguishable from magic.”  Others have reported on this very exciting possibility, and I am looking forward to learning more.

Ravi Bonam of IBM collected a large amount of data from a programmed roughness mask, a mask that contained an added rectangle (jog) along the feature edge of varying size and frequency.  By measuring the mask and the wafer after printing, something can be learned about the optical transfer of roughness from the mask to the wafer, and the ability of wafer metrology to see roughness at specific frequencies.  Unfortunately his data analysis and presentation left me unable to grasp a single lesson learned from his data.  I’ll have to wait for the manuscript.

Tom Wallow gave a comprehensive overview of sources of metrology variation for the case of metrology used for OPC model calibration.  His two laments were the same as from every lithography model developer.  First, customers want models to fit the data better than the data uncertainty justifies.  Second, models that are based on physics require data that has accuracy, not just precision.  Historically, metrologists have focused on precision for the simple reason that accuracy is just too hard a problem to comprehend.  Tom, I hope people absorb your lessons, but don’t hold your breath.

I presented my last paper at 2pm, and then I was mostly done for the day.  After giving my last demo of Fractilia’s new MetroLER software, socializing with friends that I rarely see at other times throughout the year became my last priority of the conference.  I sampled only about 10 – 15% of the papers in the symposium, and I learned a tremendous amount from them.  I dub this year’s major theme to be stochastics, and I am glad for the attention that it is finally receiving.  I’ll go home with many ideas to investigate and try out.  For anyone interested in my papers and presentations, I’ll be posting them soon on my lithoguru website, and also on the new Fractilia website.  But first I’m going home to relax.

SPIE Advanced Lithography Symposium 2017 – day 3

It is just me or is 8:00am too early for the first technical talk of the day?  At least on Wednesday the 8:00am talk was an excellent one.  Oktay Yildirim of ASML presented a basic but very useful roughness model.  Alas, I had to run out before the end of his paper to give my own paper in the metrology session.  The problem with stochastics becoming the major theme of this year’s conference is that there have been stochastics papers everywhere, often conflicting with each other.  The morning metrology session was all roughness measurement.  Of course, I was pleased with Barton Lane’s presentation of SEM errors and their impact on roughness measurements, but since I was a coauthor that is to be expected.  I also gave my own paper on a new method for roughness characterization – the level crossing method.  I was especially impressed with Dr. Serap Savari’s work on applying modern algorithmic techniques for power spectral density (PSD) estimation.  I guess I’m going to have to figure out what a discrete prolate spheroidal sequence is.

Ravi Bonan of IBM went back to an old idea that remains underutilized today – the programed roughness mask.  Similarly, Sergey Babin of aBeam created a metrology test structure with deterministic randomness.  Please don’t ask me to explain.  The core concept of both is the same – create small structures with programmed “roughness” to test our measurement and analysis capabilities.  More creative ideas in these regards will certainly be welcome.

A creative idea came from Harm Dillen of ASML.  He used an array of very dense contact holes to measure the field distortion of scanning electron microscope images.  His application was edge placement error measurement, but as Barton Lane described earlier it also impacts roughness measurements.  Modeling the distortion using a typical first-order overlay model allows the systematic contribution (about 0.6 nm RMS for his data) to be subtracted out.  This amount of distortion is enough to have a quite noticeable on line-edge roughness measurement.  I can’t wait to try this method out.

Alex Robinson of Irresistible Materials gave a talk on increasing the sensitivity of EUV resists.  I didn’t attend.  But he did corner me later and run through it with me.  His cartoon chemistry looked very interesting – a believable mechanism for achieving second order acid amplification chemistry.  Now that such chemistry looks possible, I’ll have to think about the roughness implications more carefully.  That’s the problem with stochastics – nothing is obvious the first time you think about it.

The evening ended with another round of excellent hospitality suites (thanks to all of the companies that feed me so well throughout the week), with the PROLITH party always being my favorite.  For all of you who have asked me if my new company (Fractilia) will revive the traditional bathtub party of my old company (FINLE), the answer is no.  The bathtub party must remain the stuff of lithography legend; Fractilia will create its own traditions.