Semicon West Lithography Report

OK, I have to admit this right off: I didn’t go to Semicon West (held two weeks ago in San Francisco). I try never to go to Semicon West (I’ve been twice in the last 30 years, both times against my will). Why should I go? To listen to the latest marketing messages and company spin? To see a few technical talks that are way too light on the technical, but still full of talk? I don’t need to walk the cavernous Moscone Center to get that – everybody plasters the Web with this stuff on a regular basis. Thanks, but I think I’ll stay home.

This year was a perfect case in point. The only real news from Semicon was in the news – Intel’s announced investment in ASML. Yes, it would have been fun to sit in a San Francisco bar each evening and dissect the press releases and develop conspiracy theories. But even that is not really necessary. I’m here to give my you take on what the Intel investment means – and you don’t even have to buy me a beer to get it. (Though if you like this post, please feel free to buy me one the next time you see me.)

Intel’s investment in ASML has two parts – related, but separate. First, Intel is spending $2.1B to buy 10% of ASML, with an option to buy another 5%. ASML will use the money to buy back the same number of its shares, so there will be no stock dilution (a so-called synthetic buyback). That also means ASML will be getting nothing (no money, I mean) from this part of the deal. ASML is also offering similar deals to Samsung and TSMC, up to 25% ownership in the company. So what does this part of the deal mean? Intel and ASML made it clear that Intel gets no voting rights and won’t get early access to ASML technology or tools. Of course, they had to say that to avoid anti-trust litigation. So does the Intel investment help anyone?

There are three reasons why the Intel investment in ASML makes sense. First, it confirms the obvious: the success or failure of ASML will be mirrored as success or failure at Intel. Lest anyone doubt it, Intel needs Moore’s Law scaling to continue its growth and profitability. Lithography is the critical technology to make that happen, and ASML is the critical company to make lithography happen. Second, even without a place on the board, Intel’s ownership stake will add financial stability to ASML, whose stock price could easily drop dramatically if its EUV program were to flirt with failure. Since ASML’s importance to the industry goes far beyond its EUV program, keeping ASML developing and manufacturing lithography tools is critical.

But the third reason the investment makes sense is that the stock purchase is coupled with a $1B Intel investment in ASML R&D. This $1B infusion is what the whole deal is about, and the investment has one purpose: to speed 450-mm tool development at ASML. For several years now, as talk of 450-mm wafer sizes has heated up to what appears to be a critical mass, ASML has repeatedly said that it can’t do EUV and 450-mm development at the same time. After EUV has succeeded, then ASML will commit to 450-mm tool development. But since the day of reckoning for EUV continues to push out (possibly to 2016 or later), that means lithography, representing 50% of the cost of making a chip, won’t be 450-mm ready nearly in time to meet the (overly optimistic) timetables of the big 450-mm proponents (Intel, Samsung, and TSMC).

So here comes the investment from Intel. While the press release mentioned the importance of both EUV and 450-mm R&D, the only project mentioned for funding was 450-mm tool development. And to be clear, this is not only, or even mostly, EUV 450-mm development. A working 450-mm fab will need 193-immersion tools, 193 dry tools, and maybe 248-nm tools as well, all running at the 450-mm wafer size. If EUV works, a fab will need 450-mm EUV tools as well, but this is the only part of the lithography tool set that is optional for a 450-mm fab. So, in my opinion, the Intel investment is all about the 450-mm wafer size, and has essential nothing to do with EUV lithography.

Why is 450-mm development so important to Intel (and Samsung and TSMC)? My answer to that question next time.

Douglas S. Goodman, 1947 – 2012

In pursuing a career in optical lithography, I’ve learned a lot about optics. When I graduated from college as an engineer I had the typical scant background in imaging, and I found the topic of partial coherence particularly opaque. Yes, all of the equations were in Born and Wolf, but that doesn’t mean I could understand them. That’s when I first discovered Doug Goodman, then working at IBM. He had developed a 2D optical imaging simulator and his papers on partial coherence approached the topic in a novel and enlightening way. I still had to read several other treatments before the ideas finally sunk in, but I instantly recognized that Doug Goodman had a unique way of explaining things. Taking a short course from him in the late 1980s cemented this opinion. When I needed to understand the impact of illumination aberrations on imaging about a decade later, I again turned to Doug’s papers to teach me.

I liked Doug because he was wicked smart, but also because he was quirky, with an odd and irreverent sense of humor that I always appreciated. He worked at IBM during the golden years of applied research, and was one of the extremely talented group of scientists and engineers working in lithography that so impressed me about IBM.

Doug loved to explain things on many different levels, especially using demonstrations. His classic 1995 paper “Optics demonstrations with an overhead projector” became a short course and then a book. Long after the tech world embraced Powerpoint and LCD projectors, Doug still gave talks with an overhead projector and hand-written transparencies, very much in a classic professorial style. The last paper I saw him give was at an SPIE lithography conference in 2004. The organizers had to dig up an overhead projector just for him. The topic was how to explain partial coherence. His talk included the use of a pyrex pan full of water.

Doug left IBM to work for Polaroid in 1993, and I saw him less frequently as he strayed from my field of lithography. I was glad to see him come back to lithography when he became a senior scientist at Corning Tropel in 2002. By then, the advance of his Parkinson’s disease was plain to see. He retired in 2007 and died on May 14, 2012 at the age of 65. Too young. He is missed.

Some links to obituaries for Doug:
http://spie.org/x87302.xml
http://www.osa.org/About_Osa/Newsroom/Obituaries/Goodman-Douglas.aspx
http://www.optics.arizona.edu/News/2012Newsletters/2012goodman-douglas-s.htm
http://hosting-25262.tributes.com/show/Douglas-S.-Goodman-93849644

The Power of Belief

Have you heard of power bands? The most popular brand is Power Balance, a company which “blend[s] the powers of Eastern Philosophy and Western Science with Innovative Technologies to deliver products that improve and enhance people’s lives.” Sounds impressive, eh? A power band (described by Power Balance as a “sports performance wristband”) is a silicone bracelet with holograms that “resonate with and respond to the natural energy field of the body.” [Unless you buy one from Lifestrength, a competing company whose identical-looking bracelets create “negative ions”.] According to numerous athletes paid to endorse the product, it really works.

There is only one problem. They cost $30. That’s a lot of money, even if it is virtually guaranteed to improve my life. That why I decided to buy a Placebo Band. It works in exactly the same way as the Power Balance band, with exactly the same results. But it only costs $4! What a deal! I couldn’t pass it up. Now I wear the power of belief around my wrist wherever I go. Shouldn’t you?

The Resolution Limit of Hard Drive Manufacturing

In lithography, pushing the limits of resolution is what we do. These efforts tend to get a lot of press. After all, the IC technology nodes are named after the smallest nominal dimensions printed with lithography (though the marketing folks who decide whether the next generation will be called the 16-nm or 14-nm node don’t care much about the opinions of lithographers). And the looming end of lithographic scaling has gotten all of us worried – regardless of your faith in EUV. Yes, resolution is the signature (though not the only) accomplishment of lithographers. That is why it is so important to carefully define what we mean by the term ‘resolution’ and understand why it is different for different tasks.

As I have said many times in papers, courses, and my textbook, the resolution one can achieve depends critically on the type of feature one is trying to print. In particular, the nature and limits of resolution are very different for dense patterns as compared to isolated patterns. For the last 10 years or so, the IC industry has been focused almost exclusively on pitch resolution – the smallest possible packing of dense lines and spaces. In optical lithography this resolution depends on the ratio of the wavelength (λ) to the imaging system numerical aperture (NA). For a single, standard lithographic patterning step there is a hard cut-off: the half-pitch will never drop below 0.25λ/NA (i.e., the pre-factor in this equation, called k1, has a lower limit of 0.25).

For 193-nm lithography, the NA has reached its maximum value of 1.35, so that the dense pattern resolution has bottomed out at a pitch of 80 nm. To go lower, one must use double patterning, or wait for Extreme Ultraviolet (EUV) lithography tools to drop the wavelength. Either way is costly, and the proper path past a 40-nm pitch is currently unknown.

But the resolution limit for an isolated feature is not so clear cut. While resolution still scales as λ/NA, there is no hard cut-off for k1. As k1 is lowered, lithography just gets harder. In particular, control of the feature width (called the critical dimension, CD) is harder as k1 goes lower. Thus, for isolated lines, resolution is all about CD control.

And that’s where lithography for hard drive read/write head manufacturing differs from IC manufacturing. When manufacturers like Seagate and Western Digital increase the areal density of their drives, you can bet there was a shrink in the feature size on some critical geometry of the read and write heads. And that feature is an isolated line printed with optical lithography.

So how small are the smallest isolated features printed at Seagate and Western Digital? While I don’t have the exact values, I do know they are on the same order as the smallest features obtained by IC lithography – when double patterning is used. In other words, today’s hard drive manufacturing requires 2x-nm lithography (isolated lines) using single patterning.

The CD control requirements for these critical features is about the same as for IC critical features: +/- 10% or so. Overlay is critical too, but maybe a bit relaxed compared to the standard 1/4 – 1/3 of feature size that is the rule of thumb in the IC world. But there are a few extra requirements that make read/write head litho challenging. The wafers are smaller than the standard 300mm diameter (it is a thick ceramic wafer, not silicon), with no plans for a change to 300 mm. On each wafer, tens of thousands of heads are made (the standard lot size is one to four wafers), so throughput is not quite as critical as for ICs. But this also means that none of the latest generation of lithography tools (such as 193 immersion) are available for this task (they are all 300-mm only tools). Not that these guys would buy an immersion tool anyway – hard disk manufacturing is extremely cost sensitive, so they make do with lower-NA 193 dry tools.

So let’s do the math. To print 2x-nm features with a moderate-NA 193 dry tool, the hard drive makers are doing single-pattern lithography with k1 below 0.1. This is remarkable! The IC lithographers have never attempted such a feat. How is it done? Of course, you use the strongest resolution enhancement techniques from the IC world you can find. After that, it’s all about CD control, which means attention to detail. Let’s give the hard drive folks the credit they deserve: lithography at k1 < 0.1 is hard. Lithography scaling pressures are at least as fierce in the hard drive world as in the IC world, so you can bet the minimum isolated line feature size will continue to shrink. It will be interesting to see how they do it.

Aloha Lithography!

An excuse to travel to Hawaii? You don’t have to ask me twice. Especially if it is the Big Island, my favorite of the Hawaiian isles. My excuse this time? The 3-beams conference, also called triple-beams, EIPBN, or occasionally (rarely) the International Conference on Electron, Ion and Photon Beam Technology & Nanofabrication.

The conference was held last week (May 29 – June 1) at the excessively large Hilton Waikoloa Resort, where if I chose not to take the train or the boat from the lobby to my room, I could make the 15 minute walk instead. With the ocean, a lagoon full of sea turtles, dolphins to wonder over, and too many pools to count, one could easily spend a week’s vacation here without ever leaving the resort – which is no way to spend a vacation on the Big Island.

But I wasn’t here on vacation! I was here on business. OK, the conference was three days and I stayed for eight, but seriously, I was here for the conference. And so I diligently attended papers, ignoring the texts from my wife telling me which pool she was going to next.

Things began on Wednesday with the three plenary talks. Only later did it occur to me that they were of a common theme: optical lithography as the engine of scaling is reaching its nadir, so what will come next? Burn Lin, lithography legend and VP of TSMC, gave his now-familiar pitch for massively parallel e-beam direct write on wafer. His analysis is always insightful, but because development of a practical e-beam solution is still 5 years away (I’m being optimistic here), there was an all-too-common bias in his thinking: the devil we don’t know (e-beam) is better than the devil we do know (EUV). Since Extreme Ultraviolet lithography is at the end of its 20 year development cycle, we know all about the problems that could still kill the program. Since massively parallel e-beam wafer lithography is far behind, it is likely that we haven’t seen the worst problems yet (how bad will overlay be, for example?). And in fact, some problems are the same, such as line-edge roughness limiting the practical sensitivity of any resist system.

Matt Nowak of Qualcomm gave a great review of 3D integration through chip stacking. If Nvidia and Broadcom are right and litho scaling below 22-nm doesn’t yield either better-performing or lower-cost transistors, what is next? Innovations in packaging. While not as sexy as wafer processing, packaging adds a lot to the cost of an IC. And with 3D chip stacking, it is likely that packing costs would go down, system performance would go up, and we even might be able to lower wafer costs by better dividing up functionality between chips. It won’t be long before 3D integration is the new standard of system (chip) integration.

Finally, Mark Pinto of Applied Materials showed a very different example of what to do when silicon scaling begins to fail: go into another market. In this case, the market is silicon photovoltaics (PV). Historically, the PV market’s version of Moore’s Law has shown a 20% decline in cost/Watt for every doubling in installed capacity. That trend seems to be accelerating of late, with commercial installations now running at under $1/W. Grid parity, where the cost of solar electricity equals or is less than the market cost of electricity, has been reached in Hawaii and in several countries (even without accounting for the cost of carbon). The trends all look good, and solar is a good market for Applied.

After the plenary, it was off to the regular papers, with their interesting mix of the practical and the far out. First, an update on what I heard about EUV.

Imec has been running an ASML NXE:3100 for a year now, and its higher throughput means that process development is much easier compared to the days of the old alpha demo tool (ADT). Still, “higher throughput” is a relative term. The most wafers that Imec has run through their 3100 continuously is one lot – 23 wafers – taking about five hours. Thirteen minutes per wafer is a big improvement over several hours per wafer, but still far from adequate.

In the hallways, I heard complaints about $150,000 per EUV mask, and EUV resist at $40K per gallon. Everyone expects these prices to go down when (or if) EUV moves into high volume manufacturing, but anyone who thinks that EUV resists or masks will ever be cheaper than 193 resists or masks just isn’t thinking well. EUV may be Extreme, but it is also Expensive.

There were many excellent papers this year. JSR gave a great talk on some fundamental studies of line-edge roughness (LER) in EUV resists, developing some experimental techniques that were fabulous. A talk from the University of Houston explored the use of small-angle X-ray scattering to measure latent images in chemically amplified resists. Although promising, this techniques will need massive control and characterization to yield quantitative results.

Paul Petric of KLA-Tencor described progress on their e-beam lithography tool, REBL. We still have two years before an alpha tool might be ready to ship to a customer. Richard Blaikie from New Zealand gave a great talk on evanescent interference lithography, though I might be biased in my opinion since I was a co-author.

I had a few hallway conversations with folks about scaling. The economic barrier of double patterning means that pitch has stopped scaling for some levels. Metal 1, in particular, is stuck at an 80-nm pitch (it looks like for three nodes now), the smallest that 193 immersion can print in a single pattern. It seems likely that double patterning will have to be used at Metal 1 for the 14-nm node to bring the pitch down to 64 nm. The fin pitch for finFETs must scale, so self-aligned double patterning (SADP) is being used at the 22-nm node, but what will happen when the double patterning pitch limit of 40 nm is reached? The economics of litho scaling looks very ugly for the next few years, with a very real possibility that we just won’t do it (or maybe no one but Intel will do it).

On the last day of the conference there a slew of good papers on directed self-assembly (DSA), the hottest topic in the lithography world right now. Progress towards practicality is rapid, and universities continue to churn out interesting variations. IBM discussed the possibility of using DSA for fin patterning below 40-nm pitch. They seem very serious about this approach.

Some of my favorite quotes of the week:

Referring to the molten tin sources used for EUV, Jim Thackeray of Dow said “If nature can do volcanos, maybe we can do EUV.”
Referring to EUV resists that can also be used for e-beam lithography, Michael Guillorn of IBM said “In my opinion, this is the best thing we got from the EUV program.”
Referring to problems making the DPG chip at the heart of the REBL system, Paul Petric of KLA-Tencor said “Making tools for making chips is easier than making chips.”

It was a good conference and a fun trip, and now I’m back home, but many of my fellow conference attendees are not. Vivek Bakshi’s EUV workshop was this week in Maui, and next week is the VLSI Technology and Circuits Symposium in Honolulu. I know several folks were able to convince their bosses that a three-week, three-island business trip was required. At the VLSI symposium, one of the evening rump sessions is entitled “Patterning in a non-planar world – EUV, DW or tricky-193?” Patterning is on everyone’s mind now, even chip designers’. So much attention is generally not a good thing. But us lithographers can expect even more attention over the next 12 months, as the industry makes some of the most difficult choices it has ever made in its 50 year history.

Word of the Day

Of all the things I am proud of about myself, my vocabulary is not one of them. I’m constantly confronted by words that I don’t know, but strongly suspect that I should. When I stumble across such unfathomable verbum I usually just pick myself up and hope that no one noticed. But occasionally I reach for a dictionary in a fit of self-improvement. Today was that day, and the word was “prolixity”.

I know, dear reader. You probably learned this word in the third grade (along with its Latin roots and conjugations) and used in conversation with your mother this week. But I was forced to look it up. And when I did, something profound happened. I was deeply disappointed with the quality of the dictionary definition of this word. So disappointed, in fact, that I took the time to carefully construct what I think is a far superior definition. So without further ado, bother, or protest, I unveil now to the world my definition:

prolixity: 1) the tendency to say things in far more words than is necessary to effectively make a point or convey the essence of a thought; 2) wordiness

To all the lexicographers who read my blog, please feel free to make use of this superior definition. Credit, of course, would be appreciated.

Bumper Sticker Logic

Of course, to speak without fully considering the implications of what is said is a part of the human condition. One of my favorite phrase-types in this genre is “God Bless ____”, where the blank can be “America”, “Our Troops”, or just about anything. I’m sure the primary sentiment is one of support for the putative object of blessing, but it doesn’t take much reflection to realize there is more to it than that. “God Bless America” is really the first half of a full thought, with the unstated second half being “but not other countries”. I’ve never heard anyone say “God bless the world”, and I’m not sure what the point of a blessing would be if not to confer some benefit not available to the unblessed. Personally, I don’t want God to bless Americans to the exclusion of non-Americans, but I suppose there are many people in my country who do.

“God Bless Our Troops” is even more problematic, since its purpose is undoubtedly to ask God to take sides in a current or future armed conflict. A God that was willing to take our side in most of the wars that America has fought (thus ignoring the equally fervent prayers of the other side) is too petty for my liking.

Which brings me to a recent encounter with bumper sticker philosophy. The other day, driving the roads of Austin, Texas, I saw the following bumper sticker, which takes this archetype to a new level:

God Bless Our Troops, Especially Our Snipers

Apparently, not only do our military personnel deserve blessings to the exclusion of other country’s militaries, but within our own armed forces we should expect those trained to be snipers to get extra blessings. And what blessing should a sniper receive? To become a better shot?

I’m not sure that this bumper sticker’s owner has fully thought through all of the implications of the slogan on display. My fear is that he has.

A Poem by Sarah

Sarah reading her poem
This morning my daughter’s first grade class had a “poetry cafe”, with parents invited to listen to kids read their original poems. Here is one of the two poems that my six-year-old Sarah wrote and read:

The Dance Recital

The grass dances gracefully
to the beautiful music
of the wind.
And the Blue Bonnets
in their beautiful dresses
dance for the dirt
with nothing in it.

I can say with some certainty that she doesn’t get her artistic talents from me. She is already a better poet.

Quote of the Day

Last night, my four-year-old daughter Anna asked me this question: “What’s the number right before infinity?” Somebody (not me) had told her about infinity, and she has obviously been thinking about it. How would you answer that question? I thought about it and decided to just answer correctly: the number right before infinity is infinity. She did not like that answer one bit (and I can’t blame her). Her number sense comes almost completely from the number line (counting), and so she wanted to know where infinity was in the counting sequence. Makes sense, right? Some of the answers to her other questions were easier for her to digest (“what’s infinity plus infinity?”). In the end, though, she formulated an equation that made us both happy: Dad equals math.

Musings of a Gentleman Scientist