Photopolymers in Japan

34th International Conference of Photopolymer Science and Technology
Chiba, Japan, June 26 – 29, 2017

Until this year, I had never been to the photopolymer conference (technically known as the International Conference of Photopolymer Science and Technology).  Many of my resist friends have told me for years how good it was, but I never seemed to have the proper motivation (or excuse) to go.  Until this year.  I was invited to give a keynote talk in the computation lithography session at exactly the time I needed to go to Japan to visit customers to promote the imminent release of my new company’s first product.  Synergy happened.

And so, I found myself in Tokyo, a city I greatly enjoy and have missed (since I stopped traveling nonstop 12 years ago, coinciding with my entry into the life of a gentleman scientist).  The photopolymers conference is moderately small and slightly international (this year was typical with 295 attendees, 240 of which were from Japan).  There are three parallel sessions (two in English, one in Japanese), with strong but not exclusive focus on materials and processes for semiconductor lithography.

The conference began with a special talk by Paul Nealey of the University of Chicago, who received an outstanding achievement award from the conference’s sponsoring society for his seminal contributions to the field of directed self-assembly (DSA).  It was an excellent talk by a person who well deserved the honor bestowed on him.

I enjoyed Danilo De Simone’s talk on “Photo Material Readiness at the Eve of EUVL HVM” (EUVL = extreme ultraviolet lithography, HVM = high volume manufacturing, Eve = some unknown date in the future).  Danilo did not explicitly state the answer to his title’s query, so I will:  not ready.  Robert Brainard did answer the query in the title of his talk “What We Don’t Know about EUV Exposure Mechanisms”: a lot.  Patrick Naulleau gave a great talk (as always), explaining well why stochastic-induced linewidth errors are not Gaussian distributed (they have very fat tails).

One of the most interesting ideas I learned about was lithography post-processing using “sequential infiltration synthesis”:  after forming a resist feature, deposit a material (such as alumina) that can subsequently infiltrate the resist to create a network, then plasma ash the resist to reveal just the network.  Yes, the overall roughness was lower (based on biased roughness measurements that I don’t believe), but the interesting thing was the correlation between the left and right edges of the feature.  The original resist feature had uncorrelated edges (the LWR was about 40% larger than the LER), but post processing there was considerable correlation between the edges (the LWR and LER were about equal).  This means that the network created inside the feature stretched from edge to edge.  Fascinating, though thanks to the 65% line shrinkage I’m not sure it’s useful.

Tuesday ended with a panel discussion on EUV insertion into high volume manufacturing.  The panelist presentations were quite predictable, expressing optimism while pointing out the well-known gaps.  As expected, the ASML presentation was the most optimistic, claiming manufacturing insertion for EUV as early as the second half of 2018.  That is one year away!  I laughed out loud when I heard that.  When I asked if that prediction was serious, the response was “it depends on how you define manufacturing.”  In today’s fact-challenged world, every word is up for redefinition.

Many of the resist talks focused on metal photoresists, either through the addition of metal to a chemically amplified resist, or the design of a metal-based resist from scratch.  The higher absorption of these resists has the potential to improve the stochastics at EUV, where photons are precious.  So far, though, chemically amplified resists still outperform any of the metal-based resists at a given dose.  Even with better absorbed photon statistics, a metal resist still must do everything else right, especially perform at high resist contrast.  Resist fundamentals do not change with material platform.

There were also many interesting DSA talks.  I especially liked the use of high-speed AFM to watch microphase separation during annealing of DSA patterns with bake time (Kenji Yoshimoto of Kyoto University).  I started off the computational lithography session with a talk on lithography stochastic fundamentals.  I didn’t write up a paper, but I’ve posted my slides here.  During that session I enjoyed listening to Sander Wuister of ASML talk about modeling metal resists.

After a wonderful conference banquet Wednesday night, Thursday began with an hour-long invited talk by Chris Williams of Virginia Tech, teaching us about additive manufacturing.  It was a great talk about a fascinating field, with a mind-boggling number of potential applications.  I was extremely interested to see many innovations from the chip packaging field (such as photosensitive polyimides) starting to influence materials research in 3D printing.

Robert Brainard updated his talk from SPIE earlier this year on double-deprotected chemically amplified resists.  The idea is to increase the deprotection reaction order from 1 to something closer to 2 in order to increase the chemical gradient after post-exposure bake.  While this could work, the stated goal of lowering roughness using a higher gradient will not work.  Roughness is proportional to noise over gradient and a higher reaction order will, at best, increase noise and gradient in direct proportion.  Added steps can only add more noise on top of what’s already there, so I think this idea can only lead to more roughness, not less.

Overall, the photopolymers conference is a great venue for talking about resist chemistry, is less commercial than the SPIE resist conference, and gave me the chance to get to know more of my Japanese resist colleagues.  I’m glad I came.

And now, a story from the “Another Reason Why I Love Japan” department.

After spending two days in Tokyo, I took a train Tuesday morning to Chiba to attend the start of the photopolymers conference.  When I went to my hotel to check in, I reached into my pocket and found nothing – my wallet was missing.  Lost?  Stolen?  I didn’t know.  But I knew I couldn’t check in or pay my conference registration fee, and that it would be a long five days in Japan without money or a credit card.  When I relayed this story to my friend Nagahara-san, he immediately said “This is Japan.  Your wallet was not stolen.  You’ve lost it, and someone will turn it in.”  Taking his advice, I asked the front desk at my hotel to call the train stations I had visited that morning.  Checking back at lunch time, my wallet was found and was at the office of the first train station I had visited that morning!  An hour and a half later, I was at the train station with my wallet in hand, missing not a single thing (including the cash)!  I love Japan.

Captive in Orlando

61st International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication
Orlando, FL, May 30 – June 2, 2017

Unlike some conferences, the 3-beams conference moves to a new location each year.  This means that some years it is in a location I like better than other years.  Last year was Pittsburg (liked it), in the past it has been in Las Vegas (didn’t like it), and this year it was in Orlando, Florida.  I like Orlando, at least when I’m with my family and we are going to Disney or Harry Potter World.  But when your conference is at a resort (Disney’s Coronado Springs, in this case) and you’re not there with family, you become trapped.  It was a 20 minute cab ride to any restaurant or bar that wasn’t part of Disney.  So I ended up eating mediocre resort food and paying $11 for a beer.  The only redeeming factor was that the conference was good.

Historically, the core of the triple beam conference has been lithography (using electrons, ions, photons, and non-beams) along with correlated disciplines (resist, etch, deposition, elf-assembly, etc.).  The application of these fabrication techniques to make devices has always been important to the conference as well.  It is not a manufacturing conference (one presenter described electron-beam lithography as a “high throughput” option for nanopatterning), but that is what I like about it.  It is quite academic, with many students giving papers (a plus for someone like me who spends more time at industry-focused conferences).  Unfortunately, this year saw a larger than normal number of visa cancelations (students who couldn’t get visas to travel here to present).

One of my favorite papers of the conference was a plenary talk by D. Frank Ogletree of Lawrence Berkeley National Labs.  As our industry barrels towards implementing UEV lithography in manufacturing, we still lack answers to very fundamental questions about the exposure mechanisms for EUV resists.  Ogletree described one way to study the complicated interactions of a high-energy photon with a resist material by interacting those photons with model compounds in the gas phase.  Simplifying the interactions allows for much more detailed measurements and easier interpretation of results.  The surprising outcome was how high-energy EUV photons could cause massive fragmentation of the resist molecules.  The full implications for resist chemistry are still unknown.

Dr. Nishikawa of the University of Tokyo gave an interesting talk on directly exposing and cutting DSA (directed self-assembly) patterns using direct-write e-beam lithography.  The results looked very promising.  My favorite student paper was by Yao Luo of Texas A&M, who is working on my favorite topic – stochastic-induced line-edge roughness.  In a technique that I have also successfully employed, she used a Monte Carlo simulator to create simulated scanning electron micrographs of simulated rough features with known statistical characteristics.  She then examined the impact on SEM noise on the ability to properly measure feature roughness.  Great work.

The multi-beam maskwriter company IMS gave an update on their tool development.  They are currently shipping a maskwriter tool with 262,144 programmable electron beams, each with 20-nm resolution capability.  This enables the printing of a state-of-the-art photomask in about 10 hours, limited mostly by the data path (275 TB of data for one mask).  This is great progress for mask making, but we all want to know, how much faster can this tool become?

There were several interesting papers on DSA (from MIT and NIST, among others), but little progress on its application to the semiconductor industry.  Carolien Boeckx of Imec discussed the healing properties of DSA for contact holes.  Unfortunately, you still can’t heal a missing contact.

I gave the last paper of the last day of the conference.  My thanks to the 25 hearty souls who persevered to the end and sat through my talk on how SEM errors influence line-edge roughness measurements.  I then made a mad rush to the airport to catch the last plane to Austin.  I needn’t have rushed.  Thanks to weather my flight was canceled and I found myself captive in Orlando for one more night.

SPIE Advanced Lithography Symposium Postscript

It is 10 days since the SPIE Advanced Lithography Symposium, and I have finally finished and submitted all my papers!  If you are interested in any of them, you can find them on the Fractilia website here:

https://www.fractilia.com/technology/

Note that for the tutorial talk I gave I will not be preparing a paper, but you can get a copy of the slides I presented.  And for those you who didn’t attend the talk, this is the way it ended:

 

SPIE Advanced Lithography Symposium 2017 – day 4

In the second talk of the morning in the EUV session, Andrew Liang of Lam Research showed how much work it takes to optimize a new process, and how that work can pay off.  Local critical dimension uniformity (LCDU) is a term that refers to stochastic-induced variation in CD.  Conventionally, CDU looks at the variation of CD across a chip, exposure field, wafer, and lot caused by things like mask CD variation, film variations across the wafer, focus control across the exposure field, hotplate temperature uniformity, and many other factors.  The length scale of these variations tend to be quite large compared to the pitch of the patterns being printed, so that two feature next to each other are assumed to be largely affected in the same way by all of these variations.  Stochastic variations, on the other hand, have a length scale (called the correlation length) that is small compared to the feature size so that we can understand its impact by looking at any features, even ones right next to each other.  By measuring the CDU of a small group features (a 7X7 array of contact holes, for example) we can isolate the stochastic impact on CD uniformity from the other CDU factors.  This is the idea behind LCDU.

Liang optimized the hard mask below the resist by switching to a thinner PECVD film, optimized the lithography process to maximize the image log-slope, and optimized the etch process using atomic layer etching.  The last item is the most interesting to me, since it looks like it is possible to use an etch rate that varies as a function of aspect ratio to compensate for resist CD variation.  When the resist CD is too small, the aspect ratio of the hole is higher.  For a typical etch process, this higher aspect ratio would cause shadowing of the etchant and a reduction in etch rate, making the small hole even smaller.  But etching can also involve polymer deposition on feature sidewalls to slow etching down.  If that polymer deposition slows down with higher aspect ratio, maybe it is possible to increase the etch rate when contact holes are too small, thus improving the LCDU.  To me this seems like magic, but only in the sense of Arthur C. Clarke’s third law, “Any sufficiently advanced technology is indistinguishable from magic.”  Others have reported on this very exciting possibility, and I am looking forward to learning more.

Ravi Bonam of IBM collected a large amount of data from a programmed roughness mask, a mask that contained an added rectangle (jog) along the feature edge of varying size and frequency.  By measuring the mask and the wafer after printing, something can be learned about the optical transfer of roughness from the mask to the wafer, and the ability of wafer metrology to see roughness at specific frequencies.  Unfortunately his data analysis and presentation left me unable to grasp a single lesson learned from his data.  I’ll have to wait for the manuscript.

Tom Wallow gave a comprehensive overview of sources of metrology variation for the case of metrology used for OPC model calibration.  His two laments were the same as from every lithography model developer.  First, customers want models to fit the data better than the data uncertainty justifies.  Second, models that are based on physics require data that has accuracy, not just precision.  Historically, metrologists have focused on precision for the simple reason that accuracy is just too hard a problem to comprehend.  Tom, I hope people absorb your lessons, but don’t hold your breath.

I presented my last paper at 2pm, and then I was mostly done for the day.  After giving my last demo of Fractilia’s new MetroLER software, socializing with friends that I rarely see at other times throughout the year became my last priority of the conference.  I sampled only about 10 – 15% of the papers in the symposium, and I learned a tremendous amount from them.  I dub this year’s major theme to be stochastics, and I am glad for the attention that it is finally receiving.  I’ll go home with many ideas to investigate and try out.  For anyone interested in my papers and presentations, I’ll be posting them soon on my lithoguru website, and also on the new Fractilia website.  But first I’m going home to relax.

SPIE Advanced Lithography Symposium 2017 – day 3

It is just me or is 8:00am too early for the first technical talk of the day?  At least on Wednesday the 8:00am talk was an excellent one.  Oktay Yildirim of ASML presented a basic but very useful roughness model.  Alas, I had to run out before the end of his paper to give my own paper in the metrology session.  The problem with stochastics becoming the major theme of this year’s conference is that there have been stochastics papers everywhere, often conflicting with each other.  The morning metrology session was all roughness measurement.  Of course, I was pleased with Barton Lane’s presentation of SEM errors and their impact on roughness measurements, but since I was a coauthor that is to be expected.  I also gave my own paper on a new method for roughness characterization – the level crossing method.  I was especially impressed with Dr. Serap Savari’s work on applying modern algorithmic techniques for power spectral density (PSD) estimation.  I guess I’m going to have to figure out what a discrete prolate spheroidal sequence is.

Ravi Bonan of IBM went back to an old idea that remains underutilized today – the programed roughness mask.  Similarly, Sergey Babin of aBeam created a metrology test structure with deterministic randomness.  Please don’t ask me to explain.  The core concept of both is the same – create small structures with programmed “roughness” to test our measurement and analysis capabilities.  More creative ideas in these regards will certainly be welcome.

A creative idea came from Harm Dillen of ASML.  He used an array of very dense contact holes to measure the field distortion of scanning electron microscope images.  His application was edge placement error measurement, but as Barton Lane described earlier it also impacts roughness measurements.  Modeling the distortion using a typical first-order overlay model allows the systematic contribution (about 0.6 nm RMS for his data) to be subtracted out.  This amount of distortion is enough to have a quite noticeable on line-edge roughness measurement.  I can’t wait to try this method out.

Alex Robinson of Irresistible Materials gave a talk on increasing the sensitivity of EUV resists.  I didn’t attend.  But he did corner me later and run through it with me.  His cartoon chemistry looked very interesting – a believable mechanism for achieving second order acid amplification chemistry.  Now that such chemistry looks possible, I’ll have to think about the roughness implications more carefully.  That’s the problem with stochastics – nothing is obvious the first time you think about it.

The evening ended with another round of excellent hospitality suites (thanks to all of the companies that feed me so well throughout the week), with the PROLITH party always being my favorite.  For all of you who have asked me if my new company (Fractilia) will revive the traditional bathtub party of my old company (FINLE), the answer is no.  The bathtub party must remain the stuff of lithography legend; Fractilia will create its own traditions.

SPIE Advanced Lithography Symposium 2017 – day 2

I write my posts the morning after that day of the symposium. And today definitely feels like a “morning after”.  Two days of late nights at the hospitality suits followed by far too little sleep are beginning to have their effects.  Let’s see if adrenaline and desire can carry me through the rest of the week…

For those reading this blog who do not attend the SPIE Advanced Lithography Symposium, let me explain that there are seven conferences as a part of the symposium, and there are always at least five sessions happening in parallel (Wednesday morning will see all seven).  There is almost always more than one paper at any given time that I want to see, but all of my attempts at quantum entanglement with a doppelgänger have led to decoherence.  (Yes, that is the ultimate in bad nerd humor.)  Be aware that my extremely limited sampling of the symposium does not begin to do it justice.

For me, the day started with ASML’s talk on their new NXE:3400 EUV scanner, soon to be released.  As a bit of history, the NXE platform was introduced to us at this symposium in 2010.  The NXE:3100 was a “pre-production” tool, described in this way:  “With an NA of 0.25 and a productivity of 60wph this tool is targeted for EUV process implementation and early volume production at the 27nm node.”  But the NXE:3300 was to be the true production tool, targeted at 125 wph and the 22nm node.  As we all know, the 3300 missed its window for use in production, but the much improved NXE:3350 soon become the target production tool.  Since there was an upgrade path from the NXE:3300 to the NXE:3350, there was still a chance for those first 3300s to be used in production.  But after listening to Intel’s Monday talk, I am getting the impression that all the existing tools in the field are playing the original role of the original 3100.  It is the NXE:3400 that is now the targeted tool for high volume manufacturing.  It has many improvements (such as the Flex-illuminator and a membrane just above the wafer that blocks unwanted out-of-band radiation), with throughput again targeted at 125 wph.

A quick word about throughput.  Since throughput is a function of the dose used to expose the resist, and this dose is decided by the customer, ASML must make some assumption about the dose in order to specify the throughput of their tool.  In the very early days of EUV development (15 years ago), many people hoped for a 5 mJ/cm2 sizing dose.  That dream quickly relaxed to the more realistic (but still unrealistic) 10 mJ/cm2.  The throughput specs for the NXE:3100 were based on this assumed dose.  But since pattern quality improves with higher dose, the production spec of 125 wph for the NXE:3300 was based on a dose of 15 mJ/cm2.  Since then, the unforgiving onslaught of stochastic randomness brought a concession by ASML to a dose of 20 mJ/cm2.  This is now the assumption used to predict a 125 wph throughput for the NXE:3400.  This dose is also a function of the mask level being printed, with contact holes, vias, and cut masks requiring more dose (maybe twice as much, possibly more).  Since I don’t think that a dose of 20 mJ/cm2 is remotely possible due to roughness effects, significant downward scaling of the true throughput from the specified value is inevitable.

I enjoyed Tim Brunner’s paper on how to intelligently determine roughness specifications (but as a co-author, I am certainly biased).  The old ITRS specifications for linewidth roughness, useful in their day, and now rightly ignored as both irrelevant and unachievable.  Tim’s results, though, are scary.

I know that I exhibit selection bias, since I seek out the papers that deal with roughness and stochastic effects, but is seems that stochastics are everywhere at the symposium this year.  From linewidth control specifications to edge placement error, stochastic effects are almost never ignored anymore and often are admitted to be the dominant source of error in the lithography process.  After years of complaining that roughness was not getting the attention it deserved, that no longer seems to be a problem.

At the resist conference (Advances in Patterning Materials), the theme was often better roughness through chemistry.  Or if we don’t have the chemistry ready, it is often better roughness through cartoons of the chemistry.  Let me explain a test that I use when examining proposed solutions to stochastic-induced roughness:  If I don’t understand how it works, I don’t believe it.  Granted, this convolves skepticism with my own quite considerable ignorance, so I have to continually try to find my own errors in thinking and be open to being convinced.  Some ideas that fall into the “don’t understand, so don’t believe” category include PSCAR and second-order deprotection kinetics.  I hope to be convinced (preferably with good LER data).

We are half way through the technical conferences.  I have two more papers to give, and many more to listen to.

SPIE Advanced Lithography Symposium 2017 – day 1

The first day of the symposium began with the awards.  I was very happy to see a great group of new SPIE fellows from our community:  Emily Gallagher of Imec, Yuri Granik of Mentor Graphics, Qinghuang Lin of IMB, David Pan of the University of Texas at Austin, Mark Phillips of Intel, and James Thackeray of Dow.  Congratulations to each of you for this well-deserved recognition.  Donis Flagello, CEO of Nikon Research Corporation of America, won this year’s Frits Zernike award (full disclosure, I nominated him).  For a history of the Zernike award, see this brief article.

For a change, I enjoyed all three plenary speakers.  Usually, at least one is a dud, but not this year.  I have to admit that I didn’t care for JSR CEO Nobu Koshiba’s disciple-like references to Ray Kurzweil and his singularity predictions (I’m not a Kurzweil fan), but it was just one part of his overall optimism for Moore’s Law.  I don’t agree that Moore’s Law will continue to the 2-nm node, but I guess it’s important that sufficient optimism exists, otherwise we’ll never try.  And we should try.

The first two talks of the EUV session were keynote addresses.  Britt Turkot of Intel painted a fairly rosy picture of the progress of EUVL towards manufacturing readiness.  “It’s been a long and winding road,” and we still have a ways to go, but the eight NXE:3300s and six NXE:3350s in the field are giving semiconductor manufacturers opportunities to shake out enough of the reliability problems to enable process learning.  Tool availability continues to creep up (past the 70% mark), and mask making has progressed to the point where Intel has made “multiple” defect-free EUV masks.  Intel showed data on “adders” (defects that get added to the mask during use) and reiterated their message from last year that that production without a pellicle is not an option.  Thus, it makes sense that she listed the availability of a manufacturing-capable pellicle as the biggest risk.

She also mentioned stochastics, saying that “CD and edge placement variability is a deal breaker.”  But then her conclusion slide said that resist performance won’t gate the introduction of EUV.  I didn’t know what to make of these mixed messages, especially when she explained that the target dose for EUV manufacturing was 20 mJ/cm2.   At that dose, there will be plenty of CD and edge placement variability.

Seong-Sue Kim of Samsung was similarly encouraged by EUVL improvement.  He expressed amazement at the progress in mask blank defectivity saying it had reached the benchmark of 5 defects per blank that he thinks can enable manufacturing.  He also said that the mask blistering problems he mentioned last year have largely been solved.  For resists, he thinks that current performance is good enough for 7nm development, but sensitivity (at low roughness) needs to be improved for production.  Of course, everyone agrees with that statement.  The question is how to do it.

My favorite technical talk was Bill Hinsberg’s modeling of metal-oxide resists – a much needed start.  John Biafore gave a great paper modeling millions of contact holes at various EUV conditions and looking for stochastic-related failures.  He expressed skepticism at any possible breaking of the RLS trade-off (“resolution, LER, sensitivity – pick two”).

Finally, I was extremely gratified by the reception I received to my tutorial talk and was grateful for the many people willing to stay till 6:30pm to hear me speak.  Thanks to Eric Panning and Ken Goldberg and the EUV Lithography conference for giving me such a great opportunity to talk about stochastic-induced roughness.

SPIE Advanced Lithography Symposium 2017 – day 0

Sunday was a beautiful day in San Jose, bright and sunny.  Just a few blocks away, though, last week’s flooding has devastated whole neighborhoods, causing possibly billions of dollars in damage and the evacuation of more than 10,000 people.  Though very close, that disaster seems far away as we begin the SPIE Advanced Lithography Symposium and shift our focus from what nature can do to us to what we can do to harness nature.

Attendance is again at about 2,200 people this year, similar to what it has been for the last eight years.  It’s hard to get a full sense of what this week will teach us, but just a cursory glance at the program reveals same major shifts in emphasis in the lithography world.  The Advanced Lithography Technologies conference, now renamed Emerging Pattern Technologies, has shrunk considerably over the last two years, from 71 orals and 27 posters in 2015, to 27 orals and 14 posters this year.  There are far fewer papers on DSA (directed self-assembly) this year, as well as fewer multibeam e-beam lithography and nanoimprint lithography papers.  DSA’s early promise of “resolution in a bottle” has given way to the hard reality of defectivity for a thermodynamically driven system.  Meanwhile, the EUV community is emphasizing their progress towards manufacturing readiness.  Some hard realities await them as well, though, and talks on line-edge roughness seem to be everywhere.

This gives me the opportunity to advertise my first talk, at 5:40pm on Monday, at the end of the first day of the EUV conference.  I have been invited to give a 40 minute tutorial talk on stochastic-induced roughness.  I believe this is the first time that we’ve had a tutorial talk at the Advanced Lithography symposium, and I am very excited to be giving it.  I hope everyone interested in line-edge roughness will endure the late hour and come and listen.

For those who are interested in the talk but can’t be at the Symposium, I’m excited about SPIE’s new program to capture each presentation on video.  SPIE will be filming the slides and recording the audio for each talk.  For presenters who have given SPIE permission, these talks will then be posted on the SPIE Digital Library as a permanent record of the presentation.  So, if you do miss my tutorial talk, look for it to show up in a few weeks on the Digital Library.

Let the Symposium begin!

SPIE Advanced Lithography Symposium 2017 – a prologue

The week before the annual SPIE Advanced Lithography Symposium is always a busy one for me, but this year it is particularly so.  It’s not just because I am giving a short course and three conference presentations.  And it’s not because I am coauthor on four other talks (that’s a total of seven papers – yikes!).  No, the real reason I am way too busy this week is that yesterday I launched my new company – Fractilia.

Seventeen years ago I sold my lithography simulation company FINLE Technologies, and after five years at KLA-Tencor I settled into the life of the “Gentleman Scientist”.  My goal was to contribute to the science and practice of lithography through my research, teaching, and writing, all the while looking into the problems that I thought were the most interesting.  For the last 10 years that “most interesting problem” has been stochastic-induced roughness.  It is an incredibly interesting, fun, and important topic, and I have written 25 papers since 2009 that I hope have contributed something to our community’s understanding of this vexing problem.  My goal has been to help transform our understanding of stochastics and roughness, so that we can better tackle the problem of reducing it.

Recently, though, I’ve come to understand that the best way for me to realize my vision of making a positive impact on the industry is to commercialize my ideas in software.  So I’ve teamed up with my old partner from the FINLE days, Ed Charrier, to start a new company (Fractilia) and to introduce a new product (MetroLER).

The goal of Fractilia is to bring rigor, accuracy, and ease-of-use to the analysis of stochastic-induced roughness in semiconductor manufacturing and process development.  Fractilia will deliver something I think is currently lacking in the industry:  accurate and repeatable analysis of SEM images to extract the true, unbiased roughness behavior of wafer features.  I think the industry needs this product.  Of course, the market will tell me if I am right.

So, as I have for the last several years, I’ll be giving papers next week on various ways in which the measurement of pattern roughness can go wrong.  I’ll complain about errors in the SEM and how they hide the true roughness behavior on the wafer.  I’ll moan about the statistical difficulties of sampling, aliasing, and biases in our measurements.  But this year I’ll do more than complain – I’ll do something about it.

For the interested reader, here is a recent press article on the new company:

http://semiengineering.com/fractilia-pattern-roughness-metrology/

And here is the company website:  www.fractilia.com

Now, it is back to writing papers.  See you in San Jose!

Musings of a Gentleman Scientist