All posts by Chris

Flipping the Classroom

I’ve been teaching a class at the University of Texas at Austin giving an overview of the processes for semiconductor manufacturing for many years. This fall I did something different – I am flipped my classroom. I recorded each of my lectures ahead of time and required my students to watch the lectures before they came to class. Class time was then devoted to discussion, problem solving, and the occasional philosophical digression. While improvements will be necessary for the next year, the experiment was, I think, a success.

One outcome of the flipped classroom is a complete set of lectures for my class, available on YouTube. I have combined those lectures with reading and homework assignments as well as practice exams and other material. The result: a self-paced online course, available to anyone. I hope it will be the first of many.

The course name is Chemical Processes for Micro- and Nanofabrication (chemical engineering department, with course designator CHE323). It is basically an overview of all the process steps used in semiconductor manufacturing, with some nanofabrication concepts thrown in at the end. Here is the link:

http://www.lithoguru.com/scientist/CHE323/

Enjoy.

Immersed in the Desert

The Lithography Workshop, Nov. 10-14, 2013

I’ve just returned from a week in La Quinta, near Palm Springs, California. It is a desert of stark beauty: sand and mountains and incredible sunsets, out of which cities have risen, irrigating the desert into hiding. Palm trees and golf courses, adobe homes and strip malls, the grotesque surrounded by the sublime. And this week, a lithography conference as well.

The Lithography Workshop has been held every 18 months or so since 1981. I’ve attended about five of them (my first was in 1985 I think). They are purposefully modeled after the Gordon conferences, though they are more industrial than academic. There are presentations in the morning and in the evening, with afternoons free for socializing. They are always held in vacation destinations, and probably 20% of attendees come with their spouse.

Which is why the Lithography Workshop is commonly referred to as the Lithography Boondoggle. I suppose this nickname is deserved to certain extent, but the workshops are far from being a waste. This year there were 50 talks and about 15 posters, all of which were invited (I had a poster, but also subbed for a presenter who couldn’t make it). As a result, the presenters are almost all seasoned experts, and a truly bad paper was hard to find. Without any publication of papers or presentations, the presenters are encouraged to be more open than they might otherwise be. Sure, some marketing messages crept into a few industry papers, and how can an ambitious academic help himself from indulging in self-promotion? Over all, though, the quality of the presentations was a noticeable step above most other conferences. That’s what makes it worthwhile to attend.

The downside of this all-invited format is an excessive abundance of establishment. Of the 120 attendees, a whopping six were women. I met two students, but almost everyone else looked like me – old and male and white. Granted, there is absolutely nothing wrong with looking like me (though one could aspire for better), I just don’t want everyone to look like me. It gets boring. But this is part of the nature of the Lithography Workshop. If I want to meet young, enthusiastic students I’ll go to the 3-beams conference. If I want to meet young, enthusiastic engineers just starting out in industry (as well as almost everyone else) I’ll go to the SPIE Advanced Lithography Symposium. But for a small conference full of senior lithographers, you can’t beat the Lithography Workshop.

The four plenary talks were all quite good. I especially liked hearing about Intel’s silicon photonics efforts (about to be commercialized), and learning what ARM thinks about lithography. I got an update on progress in DSA (directed self-assembly). Several people claimed DSA would go into production in 2014 (graphoepitaxy for contact holes), which shows a development pace that rivals that of immersion lithography a decade earlier. Updates from REBL and Mapper on their massively parallel electron beam lithography tools did not engender the same reaction. If DSA is a hare, multi-beam electron lithography is a tortoise. And somehow I don’t think this story will end the way Aesop intended.

Cymer and ASML gave updates on EUV lithography. I thought it was very telling when the Cymer presenter quipped that he was in front of a hostile crowd: lithographers. His presentation was mostly an overview of LPP sources, but he showed that they have achieved 50W operation for one hour, and have repeated this feat a few times. In February they had reached 40 W, so this appears to be quite slow progress. When asked about what source would be used in the currently shipping ASML NXE:3300B scanners, he repeated an obviously well-rehearsed line: we have committed to our customers to integrate an 80W source with the NXE:3300 in 2014.

So what does such a statement mean? Jos Benschop of ASML made it more clear in his presentation. There are currently 11 3300s in various stages of production. Three have gone through factory qualification and have been accepted by customers. Two have already been shipped to customer sites, and one is being packed up know, so I suspect three will have been shipped by the end of the year. These tools are being built with an older generation source (apparently the 10W version) and will be brought up at the customer site with that source. Then, when the 80W source is ready, this new source will be integrated into the 3300s in the field. From all indications, everything about the 3300 is ready except the source. Next year will be an important one for Cymer, and for ASML.

There was some good discussion about line-edge roughness (LER), always my favorite topic. I especially liked Jed Pitera’s take on LER from a material perspective rather than a lithography perspective. What are the fundamental limits? How low can LER go? I think the answer is not low enough. We are making progress on understanding LER if not on improving it.

But now my vacation, er, conference is over. I’ve caught up with several old friends, made a couple of new ones, and come away with several good ideas. The very definition of a successful conference.

I make this suit look good

Lithographers don’t get to see me in a suit.

When I first started working in the semiconductor industry, I started out wearing a tie to conferences (I didn’t own a suit back then), but quickly realized that not only were ties optional, you were better off not wearing them. Sales and marketing folks wore ties. To an engineer, wearing a tie made you suspect. I quickly ditched the ties.

Except in Japan. When I started traveling to Japan in the early 1990s, I bought some suits. Those are still my only suits (and they still fit … barely). But I stopped wearing them 10 years ago even to Japan. The trend towards casual has caught up to the conservative corporate culture of Japan.

So, most of my friends in the lithography world have never seen me in a suit. Well, here is what it looks like.

speaking_in_hong_kong.jpg

I gave a talk last week at an investment banker conference in Hong Kong. Suit required. Someday maybe the finance folks will catch up to where lithographers have been all along.

The future of lithography?

Thanks to the rapid pace of Moore’s Law, it has always been hard to visualize the future of semiconductor lithography more than a few generations out. But it seems that my future vision is more cloudy than normal of late. Still, it is fun, and occasionally useful, to defy Niels Bohr (and Yogi Berra) and try to make the most difficult kind of predictions: those that are about the future.

I’ve recent published an editorial on my vision of what the future looks like for semiconductor lithography. In a word: up. To read the editorial, in the Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3), here is the link:

http://nanolithography.spiedigitallibrary.org/article.aspx?articleid=1725896

Grant Willson’s Japan Prize – on video

The Japan Prize has released some videos of Grant Willson and Jean Frechet recieving the Japan Prize.

The award ceremony:

http://www.youtube.com/watch?feature=player_embedded&v=ksGG1DAXe9M

A Japanese-language life story of Drs. Willson and Frechet, with a review of photolithography and chemically amplified resists:

http://www.youtube.com/watch?v=zDfTaFeOLuM

The winners’ commemorative lectures:

http://www.youtube.com/watch?v=FRGUPzdmrlo

Grand Ole Lithography

The 57th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN, aka three-beams, aka triple-beam) was held this week in Nashville. The conference moves to a new location each year, and I have to admit that my decision to attend is extremely dependent on its location. I had never been to Nashville before, so here I am. The attendance of 400 was down from last year, so I suspect that many enjoyed last year’s location in Hawaii better.

A word about the venue this year. It was at the Gaylord Opryland Resort, outside of town but right next to the Grand Ole Opry (and a Mall). The place is huge, with nearly 3000 rooms and numerous themed areas, and resembles a Las Vegas resort, but with country music instead of casinos. It has everything one would expect: artificial waterfalls, indoor palm trees, fountain and light shows, and plenty of shopping opportunities. And it realizes every southerner’s dream: the outdoors is air-conditioned.
Gaylord Opryland Resort Nashville
Compared to this Disneified resort, the actual city of Nashville looks run down and dingy. Broadway (the equivalent of Sixth Street in Austin) is lined with dive bars and free music. I loved it. I found a group of recent PhDs with similar tastes and we managed to try quite a few local beers (Yazoo Pale Ale was my favorite) and catch some amazing music. I was glad for the opportunity the see the other famous music town in America (Nashville deserves its claim to second place, after Austin, as the Music Capital).

Thursday night was the conference banquet, and we were treated to a dinner on a Cumberland River paddle boat. In keeping with the theme, the boat was huge, and the entertainment was a Las Vegas-style review of country music. The quality of the entertainment was surprisingly good, until the last song – a jingoistic God Bless America number that was heavy on the cheese and that left the very international audience wondering how to react. But I guess it is impossible to end a country music montage without providing proof that country music fans are the best Americans.

As I say every time I write about the 3-beams conference, its value lies in its themed diversity. While I have focused in my career on lithography for high volume chip manufacturing, an unforgiving technology niche that demands ultra-high performance and ultra-low unit cost in equal measures, this conference focuses on the needs of flexible, low volume fabrication. High resolution is usually important, but the need to make only a few things rather than a billion things changes the optimization dramatically. There is no convergence to one best solution, but rather an organic and eclectic mix of possibilities. It is a broadening experience to attend.

I heard nothing earth shattering this year, and I certainly listened to some pretty bad talks. But there were a few really good ones as well. I liked Hiroshi Fukuda-san’s poster paper on analyzing LER measurements, though I didn’t understand it (I’ll need to study the written paper). I enjoyed hearing about David Czaplewski’s method for measuring electron beam lithography backscatter – an impressive show of rigorous engineering that is so frequently lacking in this field. Pieter Kruit’s progress report on Mapper did not leave me encouraged, and neither did Tony Yen’s report on EUV mask defectivity. Both areas are progressing, but too slowly.

Virtually every conference I attend produces a soap-box moment for me, and this one has been a long time coming. While I admire the many interesting approaches that researchers have tried over the years to improve resolution in optical printing, I get tired of hearing about how their latest new technique is finally “beating the diffraction limit” and enabling feature sizes that are smaller than could be had with conventional imaging techniques. The “diffraction limit” of conventional imaging is invariably described as half the wavelength (/2), and then the researcher will show an isolated feature (usually of very poor quality) with a size of /5, or possibly down to /8, as demonstration of blowing past the limits. Regardless of how interesting the approach may be, I can’t help but get riled up when I hear such language.

First, a diffraction limit of /2 is the smallest pitch that can be printed, not the smallest feature, and it assumes imaging in air, even though immersion imaging is the standard in lithography today. The smallest half-pitch that we print in lithography manufacturing today is /5 in size, using perfectly conventional imaging. And of course we make a billion features at this size for a dollar. If we want to print an isolated feature, we can easily thin that line to a size of /10, again while staying within the confines of the diffraction limit. (And this is before we start down the path of double patterning.) It is very rare when some university research project using an exotic optical approach produces something as good as, let alone better than, what is routine in the semiconductor manufacturing world. The diffraction limit defines the smallest pitch (the distance between two features) that can be printed. There is no diffraction limit to the smallest individual feature that can be made – that is just a matter of control, and chip makers are very, very good at control.

So, my advice to all you researchers looking for the next big thing in lithography: go for it. Keep doing good work, exploring new ideas, and learning about what light and nonlinear materials can do. It’s really cool stuff. But be careful when you say you are beating some limit, or doing better than “conventional” lithography, because the limits aren’t so limiting, and “conventional” lithography can do some amazing things.

And so ends my report on the 57th 3-beams conference. I gave a paper as well, and I am happy with the outcome. Now I am going back to Austin, and I mean no disrespect when I say that the barbeque and the beer and the music is better there than Nashville. I probably shouldn’t compare, because Nashville is rightly proud of what it has to offer. But I am glad to be going home.

President Obama is Clean

Yesterday I went to the College of Nanoscale Engineering of the University of Albany, State University of New York (which, for the sake of brevity and sanity, I will call CNSE). There I visited CNSE, SEMATECH, IBM, TEL, and a number of other organizations in the same building that required separate badges and sign-ins. All of these groups have at least one thing in common: entering requires one to go past giant, larger-than-life posters of President Obama.

It seems that last year the President paid a visit to CNSE and got a tour of the clean room. And since the one thing that CNSE does even better than nanoscale engineering is public relations, I guess the bragging with giant posters was inevitable. Still, I thought it was very cool to see people I know (hi, Warren!) pointing out lithography tools to the President. As I stared with wonder upon my arrival, slowly an odd sensation crept over me – something was wrong, out of place. Then I realized, the President was not in a bunny suit, or wearing any clean-room garb at all (not even safety glasses!). Neither was Governor Cuomo. And neither were the engineers in the pictures with them, though they certainly knew better.

Clean room garments are necessary to protect the pristine cleanliness of our wafers and equipment from the inherent dirtiness of our human bodies. But it gets worse. As expected, security for a Presidential visit is quite strict. A thorough sweep of the clean room was carried out by numerous secret service agents, including bomb sniffing dogs. That’s right, dogs roaming the clean room! The sticky mats at the entry ways between rooms were covered with dog hair. And it turns out that many process chemicals used in semiconductor manufacturing smell just like bomb-making materials. The dogs went crazy. The agents were nervous. Some equipment had to be dismantled.

I suppose when the support for your lab relies almost entirely on government funding, the inconvenience of dirtying your clean room is a small price to pay for the publicity of a Presidential visit. It took about one month for defect levels in the clean room to get back to pre-visit levels. That’s a big interruption in work schedule. But at least they have some cool posters to show for it.

Goodbye XTREME

Last week we saw the first casualty of the ASML purchase of Cymer. Ushio has announced that it is closing down its XTREME subsidiary in Germany. Now it is just Cymer and Gigaphoton still standing, hoping that they can make enough EUV photons to support at least one of them.

From the Ushio press release of May 9:

USHIO INC. today announced that it will close down the activities of XTREME technologies GmbH, a research and development company for Extreme Ultraviolet (EUV) light sources for next-generation semiconductor lithography, and consolidate the EUV light source business into a single unit in Japan and continue it for inspection and development applications in the future.

With this, the maintenance services for XTREME EUV light sources that were provided for ASML Netherlands B.V., a subsidiary of Netherland-based ASML Holding N.V., are transferred to ASML on May 9, local time.