Category Archives: Microlithography

Semiconductor Microlithography

SPIE Photomask and EUVL Symposium 2019 – part 1

What could be better than spending a week in September in Monterey, California.  This is especially true if you are from Austin, Texas, where the temperatures are still reaching 100 F and we just came through one of the hottest Augusts on record.  Yesterday’s sunrise (experienced while out for a run along the coast) was spectacular, as is the beer at Fieldwork.  But what makes my week even better is that I get to spend it thinking, talking, and dreaming about lithography!  (Does that make me weird?)  I’m here for SPIE’s Photomask Technology + Extreme Ultraviolet Lithography conferences.

Since the late 80s I have been attending (irregularly) the Photomask Technology conference, which everyone calls the Bacus conference (long story).  Three years ago, the Extreme Ultraviolet Lithography Symposium was brought into the SPIE fold and collocated with Bacus, which has proven to be a great idea.  The critical challenges of EUV masks and related technology are getting the attention they deserve through joint sessions of the two conferences.  For the ten years prior to the merger I didn’t attend either conference.  But bringing them together has made it worthwhile for me, and since I have been coming every year.

The three and a half days of conference this week have 140 papers for both conferences (78 orals, 62 posters), including something new this year:  two sessions devoted to stochastics.  This topic is now well recognized as the primary challenge for the success of EUV lithography.  The joint plenary session featured a talk by Mark Phillips of Intel, where he provided a good review of the progress and remaining challenges for EUV lithography, including the growing effort to develop high-NA systems and infrastructure.  My favorite tidbit was the insight (obvious in hindsight) that the need for a pellicle in EUV manufacturing depends on your die size.  When there are many die on one reticle, living without a pellicle may make sense.  In this scenario, wafer (print die) inspections are used to find reticle defects (“repeaters” on the wafer) after they occur.  Despite efforts to keep the inside of the EUV scanner clean, 20% of the time reticles at Intel developed particle adders between inspections, killing yield for those die.  On the other hand, the use of a pellicle, which today has a one-pass transmission of 83%, results in a 30% loss of scanner throughput.  (Note that using a pellicle also requires the use of a membrane just above the wafer to block out-of-band radiation, and this membrane has about 90% transmission.  Overall light intensity is reduced by 0.9*0.83*0.83.)  Which is more expensive, the lost scanner throughput due to low pellicle transmittance, or the lost yield due to printing reticle defects?  That will depend on the die size.

Marcel Mastenbroek, ASML’s NXE:3400 product manager, gave a talk on that tool’s progress the way a proud parent talks about their children.  After 20 years of industrialization efforts at ASML, both Samsung and TSMC are now producing chips, being shipped to customers, with at least one layer printed with EUV.  Granted, this is “risk production”, with those same layers also being printed for some wafers with conventional 193i lithography, but that doesn’t take away from the importance of this accomplishment.  ASML deserves to be proud.  He noted that the next generation pellicle is spec’ed to have a one-pass transmission greater than 88%, to be available next year if all goes well.  We’ll hear more about pellicle progress later in the week.

One of my favorite quotes of the day came from Andreas Frommhold of imec:  “Schrodinger’s contact hole”.  This is a contact hole that, due to stochastic variations, looks good at the top of the hole but doesn’t develop all the way to the bottom (some middle region doesn’t get enough photons or doesn’t generate enough acids to make the resist soluble).  The top-down SEM image ADI (after develop inspect, meaning after lithography but before etch) looks normal, but after etch the hole is missing.  He also noted that etching both increases the number of missing holes observed and the number of merged holes observed compared to ADI.  More work on etch process optimization is obviously required.

On Tuesday I heard a talk by Claire van Lare of ASML on making attenuated phase-shifting masks (attPSM) for EUV.  It is interesting to watch a new generation of lithographers learn the lessons of the past as she grappled with the problems of “high reflectance” attPSM such as sidelobe printing and reticle barcode reading, things that were experienced 20 years ago with high-transmittance attPSM at the 248nm wavelength.  I shudder to think about how OPC will deal with stochastic sidelobe printing.

It is rare now days to have any semiconductor manufacturer give a technical talk at a conference like this.  So I was very happy to see Intel give two talks this week.  Robert Bigwood talked about the role of edge placement error (EPE) in process definition, and he illustrated the value to Intel and to the industry of giving such talks.  He described the thought processes used by Intel to develop an EPE budget and to make process choices based on that budget.  In doing so he was able to challenge the audience with his needs.  First on the list was a sufficiently predictive full-chip etch model, since not every litho EPE will transfer into a complex film stack.  I also learned that stochastic variations of resist feature height are a critical issue for them.  I had not given this issue much thought before, but I will now.

During the resist session three companies talked about increasing resist absorption as a way to reduce absorbed photon shot noise without increasing dose.  All three companies showed that this is easier said than done.  Zeon made the most progress, with a 30% reduction in dose-to-size for similar contact hole local critical dimension uniformity (LCDU, a good measure of contact hole printing stochastics).  Zeon still has a way to go, however, since their non-chemically amplified resist still uses a higher dose than the more conventional chemically amplified resists.  Still, their progress was quite impressive.

I gave a talk on Monday afternoon, going back to my roots and the ideas that got me interested in stochastics more than 13 years ago.  I’ve been trying to develop a simple, analytical framework for predicting how the major factors affect roughness.  For a long time I’ve been stymied by quencher, a complication that I could not overcome mathematically.  I made some progress (as always, by making a simplifying assumption), and I am hopeful that my new derivations will prove useful.

The conferences are halfway through, and I’ll report more at the end of the week.  But I’ll end this post with a tribute to Tony Vacca.  Veterans of the Photomask Technology conference know that the highlight of the week is always the Bacus enterainment, a series of skits with singing and dancing that make fun of the people and players in our industry.  The all-volunteer cast works for months writing and rehearsing, with a frantic push at the end to make the show come together just in time.  For the last ten years or so this crew of “Bacanalians” (as we are called) was led by the amazing Tony Vacca.  Starting four months out he would line up the volunteers, solicit skit ideas, cull the list, flesh them out, edit (mercilessly cutting to make each skit shorter and funnier), manage music and videos, and then rehearse.  For an amateur actor like myself it was immensely fun, though incredibly stressful at the end.  But the stress that Tony was under was always immense.  Last year at the end of the show I thought Tony was going to have a heart attack from the pressure, and he announced that he was retiring from the Bacus entertainment.  No one stepped up to replace him, and this year we have no entertainment.  So tonight, when the conference banquet goes to the Monterey aquarium, I will be raising a glass of wine silently to Tony, with a smile on my face for all the wonderful Bacus entertainment memories that he enabled. Thank you, Tony.

(And to help keep those memories alive, here is one skit from two years ago:  Mr. Pellicle.)

SPIE Advanced Lithography Symposium 2019 – day 4

Thursday, the final day of the conference, is always full of mixed emotions.  I’m exhausted and glad the end is coming since I don’t think I can absorb anything else and need time to process all that I have seen and heard.  But like a sugar rush that turns into a sugar crash, when the last paper is over and the halls start emptying out, I feel almost depressed.  The energy and pace of the week is entirely unsustainable, but it is intoxicating.

In the morning I saw another good paper by Bertrand Le-Gratiet of STMicro, this time on the uses of contour-based metrology.  Both of his talks were perfect illustrations of the power of the visual representation of data.  Sufficiently complex data sets can contain many important lessons, but pulling out the new and useful information from the background of the known and expected can be difficult.  The right graph or presentation of the data can make the important points excessively clear.  I also liked two imec papers showing PSD analysis of AFM data.  By running an AFM tip in a 1D stripe along the top of a photoresist line we can get important information not available in a top-down SEM image.  PSD analysis of the data proved a very useful representation of that top surface roughness.

I spent the entire afternoon in the Grant Willson Tribute Session.  On the occasion of Grant’s retirement, the Patterning Materials conference devoted three hours to honoring the most famous and most beloved resist chemist in the world.  The talks walked us through Grant’s career with heartwarming stories of how he has profoundly changed each of us.  Here is the list of speakers, covering many (but not all) of the important phases of Grant’s life in science and engineering:

Bob Allen (IBM Almaden)
Yan Borodovsky (retired from Intel)
Ralph Dammel (EMD)
Cliff Henderson (Univ. of South Florida)
Chris Mack (Fractilia)
Dave Medeiros (Globalfoundries)
Doug Resnick (Canon)
Mark Somervell (Tokyo Electron)

As almost every speaker emphasized, Grant’s massively important technical accomplishments are dwarfed by the personal influences he has had on so many people, but especially the 274 (+1) students that have graduated from his University of Texas research group.  This tribute was definitely the highlight of the week for me, with the love for Grant pouring out from each speaker, and palpably present throughout the room.  We will miss you, Grant.  (But not me, since I live in Austin and hope to continue seeing Grant just as often as before!)

SPIE Advanced Lithography Symposium 2019 – day 3

Finally, a day where my only responsibility was to go to papers!  Dan Sobieski of Lam Research described combined etch and hardening techniques that could reduce microbridging and microbreaks in lines and spaces, just not at the same time.  It would be interesting to try these techniques combined with Peter De Bisschop’s defect analysis to see how much the defect-free process window could be opened up.  Toshiharu Wada of TEL described an area-selective deposition to reduce low-frequency roughness.  A resist line is coated with some material such that the deposition rate is slower in a narrow space than a wider one.  If roughness made a space wider, higher deposition rates would tend to fill it in more.  If a space were narrower, slower deposition rates would fill it in less.  The result would be a space that varied less after deposition than before, even at low frequencies.  His unbiased PSD (power spectral density) data showed that it actually worked.  An important caveat is that it may work properly only at one pitch (Wada-san’s results were at 36nm pitch).  More work is required, and I look forward to seeing it.

Switching to the metrology session I heard several SEM talks.  Hitachi explained that one of their biggest priorities is tool matching among a fleet of 10 to 100 CD-SEM tools, where tool matching specs can be extremely challenging to meet.  Sample variation, tool variation, environmental variation, and tool calibration errors all contribute about equally to this overall budget.  Another Hitachi paper described progress in in-situ aberration measurement and adjustment in a prototype CD-SEM to shrink the spot size by about 2X, with subsequent resolution improvements.  They demonstrated the technology with a 100eV beam energy (since the spot size is pretty large at that voltage), but I hope to see results at 500V soon.

It was good to see STMicro back at the conference, and Bertrand Le-Gratiet gave a great talk on monitoring and controlling SRAM contact holes using massive CD measurements.  It is interesting that at the 28-nm node stochastic variations (local CDU) are by far the dominant source of variation.  Stochastics have been with us for a long time, but we are just now putting effort into seeing that.  Finally, I enjoyed Vassilios Constantoudis comparing an edge placement error (EPE) metric to a line-edge roughness metric for synthetic rough features, showing the important role of correlation length in EPE of short features.

For me, Wednesday always ends with a beer (or two) with my friends at the KLA PROLITH party.  Cheers!

SPIE Advanced Lithography Symposium 2019 – day 2

Tuesday was stochastics day for me, but before I start talking about papers let me talk about the conference as a whole.  My technical area of interest – stochastics – is a cross-cutting technology, meaning that papers on this topic can be found in almost every conference at the Advanced Lithography Symposium.  That is great, but it is also problematic when two or more papers on this same topic are being presented simultaneously in different conferences.  Last year I pushed for better coordination among conferences for cross-cutting technologies and the conference organizers listened!  They came up with a really good solution:  define three cross-cutting technologies (stochastics, overlay, and machine learning), assign various papers in various conferences to these technologies as appropriate, then create “tracks” (sessions within various conferences with no overlap).  It is working great!  Of course, it is not perfect, but the number of conflicts for me so far have been far reduced.  Kudos to Will Conley and the rest of the conference organizers for making this happen.  I certainly hope it will be a permanent feature of future symposia.

The two morning stochastics sessions in the EUV conference were great.  There was a nice combination of theoretical studies and experimental work, with both wafer printing studies and more fundamental measurements.  The industry is (finally) putting serious scientific attention to this fundamental problem, including the announcement of imec’s new AttoLab facility to probe the fundamental mechanisms of EUV resist exposure.  Peter Di Bisschop’s paper (delivered expertly by Eric Hendrix) added more depth to his important stochastic defect studies.  Anuja De Silva of IBM also gave a talk full of interesting results.  I liked her decision to use a 30 nm pitch as a “canary in the coal mine”, an easy way to make stochastic defects and thus to try out ideas for reducing them.  Steven Grzeskowiak of SUNY CNSE used flood exposure of resist with 80eV electrons as a model for EUV exposures – a nice approach. Roberto Fallica of imec showed off some great fundamental studies (as he always does), this time using photoelectron spectroscopy.  I was intrigued by Mark Maslow’s idea of correlating stochastic defects with what he called “Tail CD”, mean plus three sigma, rather than mean CD.  It is a simple idea (after you have thought of it!) that seems to have value.  It is on my list of things to investigate carefully when I get back to the office.

In the afternoon I stayed on the stochastics track as it switched to the metrology conference.  I gave a talk about taking the SEM out of SEM measurements, and heard several other good talks on roughness metrology.  A full day of nothing but roughness and stochastics – just about heaven for me.

The evening ended with the all-conference panel discussion.  Regular readers of these conference diaries will know that I sometimes (OK, almost always) complain about panels being boring.  There are three things that make a panel boring:  a topic that is too narrow, a topic that is not controversial, and powerpoint.  Especially powerpoint.  So when Will Conley (Symposium Chair) asked Harry Levinson and I to put a panel together, we knew what we had to do.  We agreed on an all-conference panel with a major theme (Is this the end of scaling?).  We decided to use questions that might elicit some controversy, and then tried to make it fun so that it would be interesting.  Here are those questions

1) The technology for which conference at this Symposium is most responsible for bringing about this end of scaling?
2) How is the technology of your conference saving Moore’s Law?
3) If lithographically-driven scaling does come to an end, what topics will you list in your conference’s call for papers?
4) How will we redefine the meaning of Moore’s Law in order to keep its spirit alive?

Finally, we refused to let any panelist get even near powerpoint.  And it worked!  We started out with 400 people in the room, and a majority of them stayed through the biggest part of the time.  I’d be interested in getting more feedback, but the comments so far have all been positive.  Thanks to our great panelists for making it work so well:

Tony Yen (EUV Lithography)
John Petersen (Optical Microlithography)
Rob Aitken (Design-Process-Technology Co-optimization)
Ryan Callahan (Patterning Materials)
Rich Wise (Etch Technology)
Erik Hosler (Novel Patterning Technologies)
Phillipe Leray (Metrology, Inspection and Process Control)

We agreed to disagree on almost every point, except that progress in lithography and patterning will require cooperation by us all.

SPIE Advanced Lithography Symposium 2019 – day 1

The conference remains strong, with about 2100 total attendees (nearly constant over the last 10 years).  The plenary session Monday morning began as always with awards.  We recognized seven new SPIE fellows from our community:  Yasin Ekinci (Paul Scherrer Institut), Jo Finders (ASML), Lynford Goddard (University of Illinois), Stephen Hsu (ASML), Hakaru Mizogushi (Gigaphoton), David Ruzic (University of Illinois), and Martha Sanchez (IBM).  Congratulations!  The first annual Nick Cobb memorial scholarship was awarded to Haoyu Yang of Chinese University of Hong Kong.  The scholarship (sponsored by Mentor Graphics) is quite significant ($10,000).

The Zernike Award for Microlithography is an annual award and our community’s highest honor.  Last year it was not given as we chose instead to honor Nick Cob after his untimely death.  This year, to make up for that gap, two awards were given:  Obert Wood (Global Foundries) and Akiyoshi Suzuki (Gigaphoton).  Congratulations – two highly deserving honorees.  (Full disclosure:  I’m on the award selection committee so I am not an unbiased observer.)

The current president of SPIE, Jim Oschmann, was in attendance to give out SPIE’s President’s Award to Bill Arnold for his outstanding service to the society.  So far as I recall, this is the first time this award has been given to someone from the lithography community.  Since Bill was on the stage anyway, he was further commemorated on the occasion of his impending retirement from ASML (which happens April 1, as I understand).  I hope, however, that this will not be the last time we see Bill in our community.  Two other notable retirements were also mentioned:  Pat Wight (long-time SPIE staff representative to this symposium) and C. Grant Willson of the University of Texas at Austin (more on that later in the week).

We often have some very good plenary speakers at this symposium, but not always.  But it rare to have three very good ones the same year, so we were quite fortunate this year.  Dario Gil, former lithographer and now Director of IBM Research, gave a great overview of quantum computing and IBM’s efforts in building one.  I especially like their open-access model to allow the public to write and run code on their 5-qubit quantum computer.  As expected, he confirmed that error rates are the biggest limiter to scaling up quantum computations.  I have to admit that I still have a spooky feeling about quantum computing.  I’m not sure that I am wishing for its success.

The next two plenary talks dived into the details of 3D NAND manufacturing.  I learned much from both (and enjoyed the tag-team presentation format from Lam Research and ASML).  I liked learning about the tricks used to make the stair-step patterning practical, with 6 lithography steps plus 42 resist trim steps combined to make 48 stair-step patterns.  Cool. The level of vertical integration in 3D NAND is becoming quite staggering.  Over 100 layers of transistors!  With scaling up continuing into the foreseeable future (possibly to 512 layers)!  Wow.  Lots of patterning challenges.

I went to the EUV session for the two keynote talks, one by on old lithographer (Bernd Geh of Zeiss) and the other by a young lithographer (Ryoung-Han Kim of imec).  Actually, I don’t think of Bernd as old (he is too close in age to me), but that is how he described himself.  As for me, I was the grumpy old lithographer portrayed in a cartoon at the end of his talk.  I accept the characterization, though I am grumpy only sometimes.  The best bit from Bernd’s talk was his definition of “k4”, a scaling constant relating measured LCDU (local critical dimension uniformity) caused by stochastics to NILS (normalized image log-slope) and exposure dose.  Alas, he exhibited the standard physicist bias:  there is nothing we can do about photon shot noise (since we understand that), but since we don’t understand the ultimate stochastic limits within the resist, we just need a better resist.  Ryoung-Han Kim provided my favorite quote of the conference so far:  “We used to say EUV would simplify OPC.  Now we know that EUV complicates OPC.”

I shifted to the Metrology session to hear Ofer Adan talk about AMAT’s new SEM.  Or actually I heard him not talk about AMAT’s new SEM since he didn’t really say anything about it.  I gather that it is a higher electron voltage tool that collects and combines signals from new backscatter and secondary electron detectors.  But I’m not sure.  The goal was to see multiple layers of the device at once in order to measure within-device overlay.  Back at the EUV conference I heard the papers in the high-NA session.  But since high-NA EUV lithography is many years away, it was mostly plans and simulations.  The exception was Chris Anderson’s talk on the newly operational MET-5, a microfield EUV lithography tool with NA = 0.5 built by Lawrence Berkeley National Labs.  I’m sure this will serve as a very valuable resist testing platform, just as the MET-3 did.

I ended the conference day by giving a keynote talk at the Novel Patterning conference.  My talk was entitled “Will stochastics be the ultimate limiter for nanopatterning?”  If you missed the talk, I’ll give the abridged version:  Yes.

The evening involved a customer dinner for my day job at Fractilia, followed by staying out too late and drinking too much beer.  The first of many similar nights this week I am sure.

SPIE Advanced Lithography Symposium 2019 – day 0

Let’s call it like it is:  I’m a nerd.  In fact, I’m an uber nerd.  Other nerds say “I want to be nerdy like him.”  The easiest way you can tell I’m a nerd?  I love being in San Jose the last week in February.  It is my favorite week of the year!  The weather’s not too great (though I don’t get outside much to see it), and I certainly don’t get around to see the sites (except the new Uproar brewery down the street).  I’m here for only one thing – the SPIE Advanced Lithography Symposium.  And I love everything about it.  The people, the papers, teaching an exhausting course all day Sunday, committee meetings, standards meetings, free beer, the panel discussion (at least this year), last-minute presentation preparation, lots of coffee in the morning, giving talks, listening to talks, asking hard questions, seeing old friends and meeting new ones, hallway conversations, getting that one great idea that changes everything, seeing the next generation of lithographers take over from us old ones, seeing the old ones still hanging on, late nights, early mornings, more beer, and learning learning learning.  I absolutely love it all.  Let the week begin!

Three Beams in Puerto Rico

Rio Grande, Puerto Rico, May 29 – June 1, 2018

Last year I complained a little bit about the 3-beams conference being at a resort hotel, where I felt stuck at the resort with its overpriced food and little to do.  This year the 3-beams conference was also at an isolated resort hotel, but I am not complaining!  It was on the east coast of Puerto Rico, and it was magnificent.  I also had a rental car, so I could explore on my own.

As I’m sure everyone knows, Hurricane Maria devastated the island of Puerto Rico on September 20, 2017 (Category 4, winds of 155 mph).  The Wyndham Hotel, where the conference was to be held, was severely damaged along with most of the island.  Since the hotel was one of the few places on the east coast with generators, it became a center for first responders and relief efforts.  It took millions of dollars in renovations to make the hotel ready for guests again.  But the real problem was power – electricity wasn’t restored to that part of the island until the end of February.  As you might imagine, the conference organizers spent some tense months wondering if the conference would have to be moved at the last minute.  But the hotel reopened on March 1, 2018, and was fully ready for the EIPBN conference at the end of May.

As is currently the case at every conference I go to now days, artificial intelligence/deep learning was a hot topic, bordering on buzzword.  The dual availability of massive computing power and massive data sets have allowed neural networks made of numerous layers to finally become useful for some problems, though probably fewer than its proponents like to think.  Heike Riel of IBM gave a very nice plenary talk reviewing deep learning’s compute requirements.  Unlike conventional computing, which uses a 64 bit architecture, deep learning can live with 16 bit for training and 8 bit for inference.  This lower precision requirement is coupled with requirements for low cost and low energy per computation to drive the development of new computer architectures just for this application.  The result?  IBM’s Watson now has speech recognition and image classification error rates that are lower than human error rates.

Geert Vandenberghe of imec reviewed their efforts at evaluating EUV (Extreme Ultraviolet) materials and explained why their metrics now always include unbiased roughness and stochastic defects.

I really enjoyed a thought-provoking talk by Vivek Goyal of Boston University on shot noise mitigation in scanned beam microscopy (such as SEM imaging).  By carefully working out the stochastic components that lead to noise in an image (pixel to pixel randomness), he estimates one of the intermediate stochastic terms – the number of particles (such as electrons in an SEM) that strike the sample for a given pixel – using time-resolved sensing.  For example, if an image is collected by averaging 16 frames (sixteen separate images), one can use the variation from frame to frame for each pixel in order to estimate, and then remove, some of the stochastic variation by making a better estimate of secondaries generated per incident primary.

Tatsuhiko Higashiki of Toshiba talked about the status of nanoimprint lithography (NIL) for the manufacture of Flash memory chips.  Since Flash has been going vertical for several years now, there is no longer a drive for feature size reduction in lithography.  The drive is for cost reduction.  Toshiba is looking to NIL to achieve that cost reduction.  Toshiba has one Canon FPA-1200 in its production line for evaluation.  They have printed one manufacturing layer (contact holes) with NIL and achieved similar final yield compared to the current ArF lithography process.

Aaron Franklin of Duke gave an excellent talk on semiconductor scaling using nanomaterials.  Device requirements for scaling power and feature size drive a need for new materials, and nanomaterials may be a key component of future scaling strategies.

Nelson Felix of IBM provided my favorite quote of the conference:  an EUV source is “a massive Rube Goldberg machine.”

As always, the EIPBN conference provided me with a few nuggets of information, but more importantly some new perspectives.

How to Write a Good Scientific Paper

For the past 6 years, while serving as editor-in-chief of the Journal of Micro/Nanolithography, MEMS, and MOEMS, I have been researching and writing articles on how to write a good scientific paper.  Recently I have turned that work into a book.  It has been published by SPIE, who is generously making the electronic version of the book available for free in order to widen its distribution and impact. You can find a pdf of the book here, which you are free to use and distribute as you see fit.  Information on the print version (which is for sale at a modest price) can be found here:  https://spie.org/Publications/Book/2317706.

This short (100 page) book is full of practical advice that anyone who wants to write a scientific paper (beginner or experienced writer) can use.

SPIE Advanced Lithography Symposium 2018 – day 4

My hat is off to the speakers who brave the 8am time slot on the last day of the conference.  They are often talking to a sparse crowd as the stragglers slowly trickle in.  The exception was William Miller of Qualcomm, whose excellent talk at 8am was very well attended.  For us semiconductor types, it was very enlightening to hear the customer’s perspective on what goes wrong in manufacturing.

Since my papers were all done for the week, I spent the day just listening.  Bruno Azeredo (ASU) gave a fascinating talk on electrochemical nanoimprinting of silicon in the newly revamped Novel Patterning Technologies conference.  I also enjoyed watching my former student Meghali Chopra present results on etch optimization with software from her new company Sandbox Semiconductor.  My coauthor from imec Vito Rutigliani gave a great talk, showing how different underlayers (below the resist) affect the image contrast of SEM images, changing the noise and bias in roughness measurements so that biased roughness measurements are essentially useless.

There were several talks aimed at using defect review SEMs as fast metrology tools, allowing for a dramatic increase in the amount of data that can be practically collected.  This is great for looking for stochastic defects, especially defective contact holes that occur at or below the ppm level (one bad contact hole in a million).  We still need to see calibration results comparing these larger pixel size/larger spot size tools to traditional CD-SEMs.

The stochastics theme continued on Thursday with afternoon talks by Peter de Schepper of Inpria and Eric Hendrickx (standing in for Peter de Bisschop) of imec looking for defects at the million-feature level.  Another talk in the EUV Resist Roughness session set me off on mini-diatribe, which I will repeat here for those who didn’t watch me coopt an author’s Q&A time to give it the first time. 

In trying to understand how low we might be able to push line-edge roughness, we often want to understand the separate contributions of photon shot noise and resist noise (both of which are translated by the image log-slope into the observed edge errors).  Suppose the total 3-sigma roughness for our features is 3nm, and we estimate that this same resist exposed with an image that has no photon shot noise would produce 1.8 nm of roughness.  Would it be appropriate to say that the resist contributes 60% of the total roughness?  No, and making such a statement is quite misleading.  Why?  If the photon shot noise and the resist contributions to roughness are independent, then their contributions would add in quadrature, so that variance (not standard deviation) is divided up between the two sources.  If we took out the 1.8 nm resist contribution, what would the roughness be?  2.4 nm, only a 20% reduction from the original 3nm, not a 60% reduction.   If we insist on assigning a percent contribution to photon and resist noise, we must do it on the variance scale.  In this case, photon shot noise would contribute 64% to the total variance, and the resist would contribute the other 36%.  When it comes to noise, we should always focus on the biggest contributor since the quadrature addition will amplify its importance.

I stayed till the bitter end, closing out another great week at the SPIE Advanced Lithography Symposium.  We didn’t get much of an update from ASML or the semiconductor companies on the recent progress of EUV lithography.  Multibeam electron lithography and directed self-assembly continue to make slower than expected progress in wafer patterning.  But multi-beam mask writing is doing great, enabling very good progress in nanoimprint lithography.  The hot topics wax and wane from year to year, but progress is always made, thanks to the innovative work of the people at this conference.  See you next year!

SPIE Advanced Lithography Symposium 2018 – day 3

The theme of this year’s SPIE Advanced Lithography Symposium may be stochastics, but the buzzphrase of the year is definitely machine learning.  I counted 11 papers with that phrase in the title, not to mention one short course, two full sessions on the topic, and numerous mentions in other talks trying to boost their “cool” factor.  I am happy to say I did not attend any of these papers.

I’m just now learning about a technique that I believe TEL introduced last year to help with the edge placement error problem of complementary lithography (which I often misspell as “complimentary”, though this approach is anything but free).  In complementary lithography long lines and spaces are patterned in one lithography/etch step (SADP, for example) and then cut up into smaller segments using a second litho/etch step.  Sometimes the cuts are so challenging that two or more cut patterning steps are required to make one final pattern.  This is where edge placement error (EPE, though more correctly described as edge-to-edge overlay error) comes in.  Each cut patterning step will have an overlay error relative to the other cut patterning steps and relative to the lines and spaces being cut.  If the cut’s overlay error perpendicular to the line is too large, it could accidentally cut a neighboring line.  For this reason the cuts are made short, becoming almost contact holes.  It would be easier to pattern the cuts if they were rectangular shaped (long dimension perpendicular to the lines), but then we have these potential overlay problems.

This is where the “multi-color” SAxP approach comes in.  If you make every other line in your long line/space pattern out of a different material, and those materials have different etch rates, it is possible to cut one line in an etch process without worrying whether the neighboring line is damaged by a misplaced cut pattern.  Alas, this technique comes with increased processing steps (and cost) to create the “colors” (the lines of different material), but it is probably worth it if it enables easier lithography of the cuts.

Wednesday was the day when life as a working stiff got in the way of pretending I was still a Gentleman Scientist.  I missed a large chunk of papers in the middle of the day due to customer meetings.  Time is the only truly limited resource.

For me the highlight of the day, if not the week, was the special session on shot noise in the EUV conference.  2018 is the 100-year anniversary of the seminal paper by Walter Schottky where he coined the phrase “shot effect” and described the statistical properties of a then newly discovered noise in low-current vacuum tubes due to the discrete nature of electrical change.  Since shot noise has become an integral part of our community’s vocabulary recently, I suggested to Ken Goldberg and Felix Nelson that they organize this special section in honor of this anniversary.  I gave a tutorial talk on the history of shot noise, then Patrick Naulleau and Robert Brainard followed with two great talks on the topic.  I loved the session, especially since I got to give a talk with no technical content (my favorite kind of talk).  If you missed it, remember that SPIE is now recording the talks and will post them on the SPIE digital library in the coming weeks (for those authors who have given permission).

The poster session afforded me the opportunity to engage in one of my favorite conference activities – talking with Vassilios Constantoudis.  It was enlightening and educational as always.

The late nights are starting to catch up with me, and Wednesday always makes things worse because of the KLA-Tencor party.  There are always too many good friends there to catch up with.  Still, I think I will be able to make an 8am talk on Thursday.  I hope.